Part Number Hot Search : 
ATMEL OP213FP ISL6326 S6010 ISL6326 ISD2575T 82C465MV LT1000
Product Description
Full Text Search
 

To Download ATTINY84-20MUR Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  features ? incorporates the arm926ej-s ? arm ? thumb ? processor ? dsp instruction extensions ? arm jazelle ? technology for java ? acceleration ? 4 kbyte data cache, 4 kbyte instruction cache, write buffer ? 220 mips at 200 mhz ? memory management unit ? embeddedice ? in-circuit emulation, debug communication channel support ? multi-layer ahb bus matrix for large bandwidth transfers ? six 32-bit-layer matrix ? boot mode select option, remap command ? one 32-kbyte internal rom, single-cycle access at maximum speed ? one 64-kbyte internal sram, single -cycle access at maximum speed ? 4 blocks of 16 kbytes configurable in tcm or general-purpose sram on the ahb bus matrix ? single-cycle accessible on ahb bus at bus speed ? single-cycle accessible on tc m interface at processor speed ? 2-channel dma ? memory to memory transfer ? 16 bytes fifo ? linked list ? external bus interface (ebi) ? ebi supports sdram, static memory, ecc-enabled nand flash and compactflash ? ? lcd controller (for at91sam9rl64 only) ? supports passive or active displays ? up to 24 bits per pixel in tft mode, up to 16 bits per pixel in stn color mode ? up to 16m colors in tf t mode, resolution up t o 2048x2048, vir tual screen support ? high speed (480 mbit/s) us b 2.0 device controller ? on-chip high speed transceive r, utmi+ physical interface ? integrated fifos and dedicated dma ? 4 kbyte configurable integrated dpram ? fully-featured system controller, including ? reset controller, shutdown controller ? four 32-bit battery backup regi sters for a total of 16 bytes ? clock generator and power management controller ? advanced interrupt controller and debug unit ? periodic interval timer, watchdog timer and real-time timer and real-time clock ? reset controller (rstc) ? based on two power-on reset cells ? reset source identification and reset output control ? shutdown controller (shdc) ? programmable shutdown pin control and wake-up circuitry ? clock generator (ckgr) ? selectable 32768 hz low-power oscillator or internal low-powe r rc oscillator on battery backup power supply, providing a permanent slow clock ? 12 mhz on-chip oscillator for main system clock and usb clock ? one pll up to 240 mhz 6289d?atarm?3-oct-11 at91sam arm-based embedded mpu at91sam9r64 at91sam9rl64
2 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? one pll 480 mhz optimized for usb hs ? power management controller (pmc) ? very slow clock operating mode, software programmable power optimization capabilities ? two programmable external clock signals ? advanced interrupt controller (aic) ? individually maskable, eight-level pr iority, vectored interrupt sources ? one external interrupt sources and one fast interrupt source, spurious interrupt protected ? debug unit (dbgu) ? 2-wire uart and support for debug communication channel, programmable ice access prevention ? mode for general purpose 2-wire uart serial communication ? periodic interval timer (pit) ? 20-bit interval timer plus 12-bit interval counter ? watchdog timer (wdt) ? key-protected, programmable only once, window ed 16-bit counter running at slow clock ? real-time timer (rtt) ? 32-bit free-running backup counter runn ing at slow clock with 16-bit prescaler ? real-time clock (rtc) ? time, date and alarm 32-bit parallel load ? low power consumption ? programmable periodic interrupt ? one 6-channel 10-bit anal og-to-digital converter ? touch screen interface compatible with indust ry standard 4-wire sensitive touch panels ? four 32-bit parallel input/output controllers (pioa, piob, pioc and piod) ? 118 programmable i/o lines multiplexed with up to two peripheral i/os for 217-ball bga package ? input change interrupt ca pability on each i/o line ? individually programmable open-drain, pull-up resistor and synchronous output ? 22-channel peripheral dma controller (pdc) ? one multimedia card interface (mci) ? sdcard/sdio 1.0 and multimediacard ? 4.3 compliant ? automatic protocol control and fast automatic data transfers with pdc ? two synchronous serial controllers (ssc) ? independent clock and frame sync sign als for each receiver and transmitter ? i2s analog interface support, time division multiplex support ? high-speed continuous data stream ca pabilities with 32-bit data transfer ? one ac97 controller (ac97c) ? 6-channel single ac97 analog fr ont end interface, slot assigner ? four universal synchron ous/asynchronous receive r transmitters (usart) ? individual baud rate generator, irda ? infrared modulation/demodulatio n, manchester encoding/decoding ? support for iso7816 t0/t1 smart card, hardware handshaking, rs485 support ? one master/slave serial peripheral interface (spi) ? 8- to 16-bit programmable da ta length, four external peripheral chip selects ? high-speed synchronous communications ? one three-channel 16-bi t timer/counter (tc) ? three external clock inputs, two multi-purpose i/o pins per channel ? double pwm generation, capture/waveform mode, up/down capability ? one four-channel 16-bit pwm controller (pwmc) ? two two-wire interfaces (twi) ? compatible with standard two-wire serial memories ? one, two or three bytes for slave address ? sequential read/write operations
3 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? master, multi-master and slave mode operation ? bit rate: up to 400 kbits ? general call supported in slave mode ? connection to peripheral dma controll er (pdc) channel capabilities optimizes data transfers in master mode only (twi0 only) ? sam-ba ? boot assistant ? default boot program ? interface with sam-ba gr aphic user interface ? ieee ? 1149.1 jtag boundary sc an on all digital pins ? required power supplies: ? 1.08 to 1.32v for vddcore, vddutmic, vddpllb and vddbu ? 3.0v to 3.6v for vddplla, vddana, vddutmii and vddiop ? programmable 1.65v to 1.95v or 3.0v to 3.6v for vddiom ? available in a 144-ball bga (at91sam9r64) an d a 217-ball lfbga (at91sam9rl64) package 1. description the at91sam9r64/rl64 device is based on the integration of an arm926ej-s processor with a large fast sram and a wide range of peripherals. the at91sam9r64/rl64 embeds one usb device high speed controller, one lcd controller (for at91sam9rl64 only), one ac97 controller, a 2-channel dma controller, four usarts, two sscs, one spi, two twis, three timer counter channels, a 4-channel pwm generator, one mul- timedia card interface and a 6-channel analog-to-dig ital converter that also provides resistive touch screen management. the at91sam9r64/rl64 is architectured on a 6-layer bus matrix. it also features an external bus interface capable of interfacing with a wide range of memory and peripheral devices. some features are not available for at91sam9r64 in the 144-ball bga package. separate block diagrams and pio multiplexing are provided in this document. table 1-1 lists the features and signals of at91sam9rl64 that are not available or partially available for at91sam9r64. when the signal is multiplexed on a pio, the pio line is specified. table 1-1. unavailable or partially available features and signals in at91sam9r64 feature full/partial signal peripheral a peripheral b ac97 full ac97fs ac97ck ac97tx ac97rx pd1 pd2 pd3 pd4 - ebi partial d16-d31 ncs2 ncs5/cfcs1 pb16-pb31 pd0 pd13 - lcdc full lcdmod lcdcc lcdvsync lcdhsync lcddotck lcdden lcdd0-lcdd23 pc2 pc3 pc4 pc5 pc6 pc7 pc8-pc31 -
4 6289d?atarm?3-oct-11 at91sam9r64/rl64 pwm partial pwm2 pd5 and pd12 - spi partial npcs2 npcs3 pd8 pd9 and pd13 ssc1 full rf1 rk1 td1 rd1 tk1 tf1 - pa 8 pa 9 pa 1 3 pa 1 4 pa 2 9 pa 3 0 touchscreen adc partial ad3ym gpad4 gpad5 pa 2 0 pd6 pd7 - tc partial tioa1 tiob1 tclk1 tioa2 tiob2 - pc29 pc30 pc31 pd10 pd11 twi full twd1 twck1 pd10 pd11 - usart0 partial sck0 rts0 cts0 dsr0 dtr0 dcd0 ri0 pa 8 pa 9 pa 1 0 pd14 pd15 pd16 pd17 - usart1 partial sck1 - pd2 usart2 partial sck2 rts2 cts2 pd9 pa 2 9 pa 3 0 - usart3 partial sck3 rts3 cts3 - pa 2 0 pd3 pd4 table 1-1. unavailable or partially available features and signals in at91sam9r64 feature full/partial signal peripheral a peripheral b
5 6289d?atarm?3-oct-11 at91sam9r64/rl64 2. block diagrams figure 2-1. at91sam9r64 block diagram aic d0-d15 a0/nbs0 a2-a15 a16/ba0 a17/ba1 ncs0 ncs1/sdcs nrd/cfoe nwr0/nwe/cfwe nwr1/nbs1/cfior nwr3/nbs3/cfiow sdck, sdcke ras, cas sdwe, sda10 fiq irq pllrca drxd dtxd apb plla a1/nbs2/nwr2 tst pck0-pck1 system controller vddbu shdn wkup xin nrst nandoe, nandwe pmc upll xout wdt rtt 32 khz osc xin32 xout32 shdc rstc dbgu slave master pdc 4 gpbreg a23-a24, a18-a20 a25/cfrnw ncs4/cfcs0 nwait cfce1-cfce2 ebi static memory controller compactflash nand flash & ecc ncs3/nandcs twi0 usart0 usart1 usart2 usart3 pwm tc0 tc1 tc2 ssc0 pdc peripheral dma controller peripheral bridge rom 32k bytes 2-channel dma 12 mhz osc usb device hs 3-channel 10-bit adc pdc sdram controller da0-da3 cda ck twd0 twck0 cts1 rts1 rxd0-rxd3 txd0-txd3 npcs0-npcs1 spck mosi miso tioa0 tiob0 tk0 tf0 td0 rd0 rf0 rk0 tsadtrg ad0 tsadvref vddana gndan sram 64k bytes hs utmi transceiver por arm926ej-s processor jtag selection and boundary scan in-circuit emulator tdi tdo tms tck jtagsel i rtck icache 4 kbytes dcache 4 kbytes bms rtc rc tcm interface itcm dtcm a21/nandale a22/nandcle por vddcore ad1 pdc ad2 5-layer ahb bus matrix d pit pioa pioc piod piob pdc pdc pdc dma vbg dfsdp dfsdm dhsdp dhsdm gndutmi vddutmii vddutmic pwm1 tclk0 tclk2 pwm3 pwm0 spi mci ntrst
6 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 2-2. at91sam9rl64 block diagram aic d0-d15 a0/nbs0 a2-a15 a16/ba0 a17/ba1 ncs0 ncs1/sdcs nrd/cfoe nwr0/nwe/cfwe nwr1/nbs1/cfior nwr3/nbs3/cfiow sdck, sdcke ras, cas sdwe, sda10 fiq irq pllrca drxd dtxd apb plla a1/nbs2/nwr2 tst pck0-pck1 system controller vddbu shdn wkup xin nrst nandoe, nandwe pmc upll xout wdt rtt osc 32k xin32 xout32 shdc rstc dbgu slave master pdc 4 gpbreg a23-a24 a18-a20 ncs5/cfcs1 a25/cfrnw ncs4/cfcs0 d16-d31 nwait cfce1-cfce2 ebi static memory controller compactflash nand flash & ecc ncs2 ncs3/nandcs mci twi0 usart0 usart1 usart2 usart3 spi pwm tc0 tc1 tc2 ac97 pdc ssc0 ssc1 pdc peripheral dma controller peripheral bridge rom 32k bytes 2-channel dma osc 12m usb device hs 6-channel 10-bit adc pdc sdram controller da0-da3 cda ck twd0 twck0 cts0-cts3 rts0-rts3 sck0-sck3 rxd0-rxd3 txd0-txd3 npcs0-npcs3 spck mosi miso pwm0-pwm3 tclk0-tclk2 tioa0-tioa2 tiob0-tiob2 ac97ck ac97fs ac97rx ac97tx tk0-tk1 tf0-tf1 td0-td1 rd0-rd1 rf0-rf1 rk0-rk1 tsadtrg ad0x p tsadvref vddana gndan vbg dfsdp dfsdm lcdc sram 64k bytes hs utmi transceiver por dhsdp dhsdm gndutmi vddutmii arm926ej-s processor jtag selection and boundary scan in-circuit emulator tdi tdo tms tck jtagsel i rtck icache 4 kbytes dcache 4 kbytes bms ntrst rtc rc tcm interface itcm dtcm a21/nandale a22/nandcle por vddcore ad1x m touch screen controller gpad4 gpad5 dcd0 dsr0 dtr0 ri0 pdc ad2y p ad3y m twi1 twd1 twck1 6-layer ahb bus matrix d dma pit pioa pioc piod piob pdc pdc pdc dma vddutmic lcdd0-lcdd23 lcdvsync lcdhsync lcddotck ldden lcdcc lcdpwr lcdmod
7 6289d?atarm?3-oct-11 at91sam9r64/rl64 3. signal description table 3-1 gives details on the signal name classified by peripheral. table 3-1. signal description list signal name function type active level comments power supplies vddiom ebi i/o lines power supply power 1.65v to 3.6v vddiop peripherals i/o lines power supply power 3.0v to 3.6v vddutmii usb utmi+ interface power supply power 3.0v to 3.6v vddutmic usb utmi+ core power supply power 1.08v to 1.32v gndutmi usb utmi ground ground vddbu backup i/o lines power supply power 1.08v to 1.32v gndbu backup ground ground vddplla pll power supply power 3.0v to 3.6v gndplla pll ground ground vddpllb utmi pll and osc 12m power supply power 1.08 v to 1.32v gndpllb utmi pll and osc 12m ground ground vddana adc analog power supply power 3.0v to 3.6v gndana adc analog ground ground vddcore core chip power supply power 1.08v to 1.32v gndcore ground ground gnd ground ground clocks, oscillators and plls xin main oscillator input input xout main oscillator output output xin32 slow clock oscillator input input xout32 slow clock oscillator output output vbg bias voltage reference analog pllrca pll a filter input pck0 - pck1 programmable clock output output shutdown, wakeup logic shdn shutdown control output driven at 0v only. 0: the device is in backup mode. 1: the device is running (not in backup mode.) wkup wake-up input input accept between 0v and vddbu ice and jtag tck test clock input no pull-up resistor tdi test data in input no pull-up resistor tdo test data out output tms test mode select input no pull-up resistor jtagsel jtag selection input pull-down resistor
8 6289d?atarm?3-oct-11 at91sam9r64/rl64 ntrst test reset signal input low pull-up resistor. reset/test nrst microcontroller reset i/o low pull-up resistor tst test mode select input pull-down resistor bms boot mode select input must be connected to gnd or vddiop. no pullup resistor bms = 0 when tied to gnd bms = 1 when tied to vddiop debug unit - dbgu drxd debug receive data input dtxd debug transmit data output advanced interrupt controller - aic irq external interrupt input input fiq fast interrupt input input pio controller - pioa - piob - pioc-piod pa0 - pa31 parallel io controller a i/o pulled-up input at reset pb0 - pb31 parallel io controller b i/o pulled-up input at reset pc0 - pc31 parallel io controller c i/o pulled-up input at reset pd0 - pd21 parallel io controller d i/o pulled-up input at reset external bus interface - ebi d0 - d31 data bus i/o pulled-up input at reset. d16-d31 not present on at91sam9r64. a0 - a25 address bus output 0 at reset nwait external wait signal input low static memory controller - smc ncs0 - ncs5 chip select lines output low ncs2, ncs5 not present on at91sam9r64. nwr0 - nwr3 write signal output low nrd read signal output low nwe write enable output low nbs0 - nbs3 byte mask signal output low compactflash support cfce1 - cfce2 compactflash chip enable output low cfoe compactflash output enable output low cfwe compactflash write enable output low cfior compactflash io read output low cfiow compactflash io write output low cfrnw compactflash read not write output cfcs0 - cfcs1 compactflash chip select lines output low cfcs1 not present on at91sam9r64. table 3-1. signal description list (continued) signal name function type active level comments
9 6289d?atarm?3-oct-11 at91sam9r64/rl64 nand flash support nandcs nand flash chip select output low nandoe nand flash output enable output low nandwe nand flash write enable output low sdram controller sdck sdram clock output sdcke sdram clock enable output high sdcs sdram controller chip select output low ba0 - ba1 bank select output sdwe sdram write enable output low ras - cas row and column signal output low sda10 sdram address 10 line output multimedia card interface mci ck multimedia card clock i/o cda multimedia card slot a command i/o da0 - da3 multimedia card slot a data i/o universal synchronous asynchronous receiver transmitter usartx sckx usartx serial clock i/o sckx not present on at91sam9r64. txdx usartx transmit data i/o rxdx usartx receive data input rtsx usartx request to send output rts0, rts2, rts3 not present on at91sam9r64. ctsx usartx clear to send input cts0, cts2, cts3 not present on at91sam9r64. dtr0 usart0 data terminal ready i/o not present on at91sam9r64. dsr0 usart0 data set ready input not present on at91sam9r64. dcd0 usart0 data carrier detect output not present on at91sam9r64. ri0 usart0 ring indicator input not present on at91sam9r64. synchronous serial controller - sscx td0 - td1 ssc transmit data output td1 not present on at91sam9r64. rd0 - rd1 ssc receive data input rd1 not present on at91sam9r64. tk0 - tk1 ssc transmit clock i/o tk1 not present on at91sam9r64. rk0 - rk1 ssc receive clock i/o rk1 not present on at91sam9r64. tf0 - tf1 ssc transmit frame sync i/o tf1 not present on at91sam9r64. rf0 - rf1 ssc receive frame sync i/ o rf1 not present on at91sam9r64. table 3-1. signal description list (continued) signal name function type active level comments
10 6289d?atarm?3-oct-11 at91sam9r64/rl64 ac97 controller - ac97c ac97rx ac97 receive signal input not present on at91sam9r64. ac97tx ac97 transmit signal output not present on at91sam9r64. ac97fs ac97 frame synchronization signal output not present on at91sam9r64. ac97ck ac97 clock signal input not present on at91sam9r64. timer/counter - tc tclkx tc channel x external clock input input tclk1 not present on at91sam9r64. tioax tc channel x i/o line a i/o tioa1, tioa2 not present on at91sam9r64. tiobx tc channel x i/o line b i/o tiob1, tiob2 not present on at91sam9r64. pulse width modulati on controller- pwmc pmwx pulse width modulation output ou tput pwm2 not present on at91sam9r64. serial peripheral interface - spi miso master in slave out i/o mosi master out slave in i/o spck spi serial clock i/o npcs0 spi peripheral chip select 0 i/o low npcs1 - npcs3 spi peripheral chip select output low npcs2, npcs3 not present on at91sam9r64. two-wire interface - twix twdx twix two-wire serial data i/o twd1 not present on at91sam9r64. twckx twix two-wire serial clock i/o twck1 not present on at91sam9r64. touch screen analog-to-digital converter gpad0-gpad5 analog inputs analog gpad4, gpad5 not present on at91sam9r64. ad0x p touch panel right side analog multiplexed with ad0 ad1x m touch panel left side analog multiplexed with ad1 ad2y p touch panel top side analog multiplexed with ad2 ad3y m touch panel bottom side analog multiplexed with ad3. not present on at91sam9r64. tsadtrg adc trigger input tsadvref adc reference analog lcd controller - lcdc lcdd0 - lcdd23 lcd data bus output not present on at91sam9r64. lcdvsync lcd vertical synchronizatio n output not present on at91sam9r64. lcdhsync lcd horizontal synchronization output not present on at91sam9r64. lcddotck lcd dot clock output not present on at91sam9r64. lcdden lcd data enable output not present on at91sam9r64. lcdcc lcd contrast control output not present on at91sam9r64. lcdpwr lcd panel power enable control output not present on at91sam9r64. lcdmod lcd modulation signal output not present on at91sam9r64. table 3-1. signal description list (continued) signal name function type active level comments
11 6289d?atarm?3-oct-11 at91sam9r64/rl64 usb high speed device dfsdm usb device full speed data - analog dfsdp usb device full speed data + analog dhsdm usb device high speed data - analog dhsdp usb device high speed data + analog table 3-1. signal description list (continued) signal name function type active level comments
12 6289d?atarm?3-oct-11 at91sam9r64/rl64 4. package and pinout the at91sam9r64 is available in a 144-ball bga package. the at91sam9rl64 is available in a 217-ball lfbga package. 4.1 144-ball bga package outline figure 4-1 shows the orientation of the 144-ball bga package. figure 4-1. 144-ball bga pinout (top view) ball a1 12 1 2 3 4 5 6 7 8 9 10 11 abcdef ghj kl m
13 6289d?atarm?3-oct-11 at91sam9r64/rl64 4.2 pinout table 4-1. at91sam9r64 pinout fo r 144-ball bga package pin signal name pin signal name pin signal name pin signal name a1 dfsdm d1 pllrca g1 pb[10] k1 a[5] a2 dhsdm d2 vddutmii g2 pb[11] k2 a[6] a3 xin d3 nwr3/nbs3/cfiow g3 pb[12] k3 a[13] a4 xout d4 nwr1/nbs1/cfior g4 pb[9] k4 a[15] a5 xin32 d5 jtagsel g5 pb[13] k5 ras a6 xout32 d6 gndbu g6 gnd k6 d[3] a7 tdo d7 tck g7 gnd k7 d[6] a8 pa[31] d8 pa[26] g8 gnd k8 d[13] a9 pa[22] d9 pa[24] g9 gndutmi k9 vddiom a10 pa[16] d10 pa[13] g10 vddcore k10 vddiom a11 pa[14] d11 pa[6] g11 vddiop k11 d[11] a12 pa[11] d12 pd[20] g12 vddiop k12 pb[1] b1 dfsdp e1 gndplla h1 pb[14] l1 a[7] b2 dhsdp e2 nwr0/nwe/cfwe h2 pb[15] l2 a[8] b3 nc e3 nrd/cfoe h3 a[0] l3 a[11] b4 vddpllb e4 ncs0 h4 a[2] l4 a[16] b5 gndpllb e5 ncs1/sdcs h5 sda10 l5 sdwe b6 tms e6 pb[2] h6 d[1] l6 d[4] b7 rtck e7 nrst h7 gnd l7 d[7] b8 pa[27] e8 bms h8 gnd l8 d[15] b9 pa[21] e9 pa[25] h9 vddiom l9 pc[1] b10 pa[12] e10 pa[15] h10 sdcke l10 pc[0] b11 pd[21] e11 pa[5] h11 vddcore l11 pb[0] b12 pa[10] e12 pa[4] h12 vddiop l12 gndana c1 vddplla f1 pb[5] j1 a[4] m1 a[9] c2 vbg f2 pb[6] j2 a[1] m2 a[10] c3 vddbu f3 pb[7] j3 a[3] m3 a[12] c4 shdn f4 pb[8] j4 a[14] m4 a[17] c5 wkup f5 pb[3] j5 cas m5 d[0] c6 ntrst f6 pb[4] j6 d[2] m6 sdck c7 tdi f7 tst j7 d[5] m7 d[8] c8 pa[28] f8 vddutmic j8 d[12] m8 advref c 9 pa [ 2 3 ] f 9 pa [ 3 ] j9 d[14] m9 vddana c10 pa[7] f10 pa[2] j10 vddiom m10 pa[17] c11 pd[19] f11 pa[0] j11 d[10] m11 pa[18] c12 pd[18] f12 pa[1] j12 d[9] m12 pa[19]
14 6289d?atarm?3-oct-11 at91sam9r64/rl64 4.3 217-ball lfbga package outline figure 4-2 shows the orientation of the 217-ball lfbga package. figure 4-2. 217-ball lfbga pinout (top view) ball a1 12 1 2 3 4 5 6 7 8 9 10 11 13 14 15 16 17 abcdefghj kl m nprtu
15 6289d?atarm?3-oct-11 at91sam9r64/rl64 4.4 pinout note: 1. shaded cells define the pins powered by vddiom. table 4-2. at91sam9rl64 pinout for 217-ball lfbga package (1) pin signal name pin signal name pin signal name pin signal name a1 dfsdm d5 shdn j14 pd[1] p17 pc[11] a2 dhsdp d6 jtagsel j15 pd[0] r1 a[0] a3 vddpllb d7 ntrst j16 pc[30] r2 a[2] a4 xin d8 bms j17 pc[31] r3 a[7] a5 xout d9 tdo k1 pb[14] r4 a[10] a6 gndpllb d10 pa[30] k2 pb[15] r5 a[14] a7 xout32 d11 gnd k3 pb[17] r6 sda10 a8 gnd d12 pa[23] k4 pb[16] r7 d[0] a9 nrst d13 pa[15] k8 vddutmic r8 vddiom a10 rtck d14 pa[12] k9 vddiop r9 d[6] a11 pa[29] d15 pa [ 8 ] k10 pc[28] r10 d[9] a12 pa[26] d16 pd[13] k14 pc[25] r11 nc a13 pa[22] d17 pd[16] k15 pc[24] r12 vddiom a14 pa[14] e1 gndplla k16 pc[26] r13 pc[1] a15 pa[10] e2 ncs1/sdcs k17 pc[27] r14 pb[1] a16 pd[20] e3 ncs0 l1 pb[18] r15 pc[5] a17 pd[17] e4 nwr3/nbs3/cfiow l2 pb[19] r16 pc[6] b1 dfsdp e14 pd[15] l3 pb[21] r17 pc[7] b2 dhsdm e15 pd[14] l4 pb[20] t1 a[3] b3 vbg e16 pa [ 5 ] l14 pc[21] t2 a[5] b4 nc e17 pa [ 4 ] l15 pc[20] t3 a[8] b5 nc f1 nrd/cfoe l16 pc[22] t4 a[12] b6 xin32 f2 pb[2] l17 pc[23] t5 a[16] b7 tst f3 nwr0/nwe/cfwe m1 pb[22] t6 ras b8 gnd f4 pb[3] m2 pb[23] t7 d[2] b9 tms f14 pa [ 1 ] m3 pb[25] t8 d[4] b10 vddcore f15 pa [ 0 ] m4 pb[24] t9 d[7] b11 pa[28] f16 pa [ 2 ] m14 pc[17] t10 d[10] b12 pa[25] f17 pa [ 3 ] m15 pc[16] t11 d[14] b13 pa[21] g1 gnd m16 pc[18] t12 vddana b14 pa[13] g2 vddiom m17 pc[19] t13 pa[17] b15 pd[21] g3 pb[5] n1 pb[26] t14 pa[19] b16 pd[19] g4 pb[4] n2 pb[27] t15 pc[2] b17 pa [ 9 ] g14 pd[12] n3 pb[29] t16 pc[3] c1 vddplla g15 pd[11] n4 pb[28] t17 pc[4] c2 vddutmii g16 pd[10] n14 pc[13] u1 a[4] c3 gnd g17 pd[9] n15 pc[12] u2 a[6] c4 gndutmi h1 pb[8] n16 pc[14] u3 a[9] c5 vddbu h2 pb[9] n17 pc[15] u4 a[13] c6 wkup h3 pb[7] p1 pb[30] u5 a[17] c7 gndbu h4 pb[6] p2 pb[31] u6 sdwe c8 tck h8 vddcore p3 a[1] u7 d[3] c9 tdi h9 vddiop p4 a[11] u8 sdck c10 pa[31] h10 pd[4] p5 a[15] u9 d[11] c11 pa[27] h14 pd[8] p6 cas u10 d[12] c12 pa[24] h15 pd[5] p7 d[1] u11 d[13] c13 pa[16] h16 pd[2] p8 sdcke u12 tsadvref c14 pa[11] h17 pd[3] p9 d[5] u13 pa[18] c15 pd[18] j1 pb[12] p10 d[8] u14 pa[20] c16 pa [ 7 ] j2 pb[13] p11 d[15] u15 pd[6] c17 pa [ 6 ] j3 pb[11] p12 pc[0] u16 pd[7] d1 pllrca j4 pb[10] p13 pb[0] u17 gndana d2 nwr1/nbs1/cfior j8 vddcore p14 pc[8] d3 gnd j9 vddiop p15 pc[9] d4 gnd j10 pc[29] p16 pc[10]
16 6289d?atarm?3-oct-11 at91sam9r64/rl64 5. power considerations 5.1 power supplies the at91sam9r64/rl64 has several types of power supply pins: ? vddcore pins: power the core, including the processor, the embedded memories and the peripherals; voltage ranges from 1.08v and 1.32v, 1.2v nominal. ? vddiom pins: power the external bus interface; voltage ranges between 1.65v and 1.95v (1.8v nominal) or between 3.0v and 3.6v (3.3v nominal). ? vddiop pins: power the peripherals i/o lines; voltage ranges from 3.0v and 3.6v, 3.3v nominal. ? vddbu pin: powers the slow clock oscillator and a part of the system controller; voltage ranges from 1.08v and 1.32v, 1.2v nominal. ? vddplla pin: powers the pll cell; voltage ranges from 3.0v and 3.6v, 3.3v nominal. ? vddpllb pin: powers the utmi pll (480mhz) and osc 12m cells; voltage ranges from 1.08v and 1.32v, 1.2v nominal. ? vddutmii pin: powers the utmi+ interface; voltage ranges from 3.0v and 3.6v, 3.3v nominal. ? vddutmic pin: powers the utmi+ core; voltage ranges from 1.08v and 1.32v, 1.2v nominal. ? vddana pin: powers the adc cell; voltage ranges from 3.0v and 3.6v, 3.3v nominal. the power supplies vddiom and vddiop are identified in the pinout table and the pio multi- plexing tables. these supplies enable the user to power the device different ly for inte rfacing with memories and for interfacing with peripherals. ground pins gnd are common to vddcore, vddiom and vddiop pins power supplies. separated ground pins are provided for vddbu, vddplla, vddpllb and vddana. these ground pins are respectively gndbu, gndplla, gndpllb and gndana. a common ground pin is provided for vddutmii and v ddutmic. this ground pin is gndutmi. caution: vddcore and vddio constraints at startup to be checked in the core power supply por characteristics in the electrical characteristics section of the datasheet. 5.1.1 usb power supply considerations to achieve the best performances on the udphs, care must be taken in the power supplies choice and especially on vd dpllb,vddutmic and vddutmii. the usb high speed requires power supplies with a ripple voltage < 20 mv on vddpllb and vddutmic. the vddutmii powering the utmi transceiver must also be filtered. it is highly recommended to use an ldo linear regulator to generate the 1.2 volts for both vddpllb and vddutmic. vddutmii can be conne cted on the 3.3 volts of the system via an lc filter. the figure below gives an example of vddpllb, vddutmic and vddutmii.
17 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 5-1. example of pll and usb power supplies 5.2 programmable i/o lines power supplies the power supplies pins vddiom support two voltage ranges. this allows the device to reach its maximum speed either out of 1. 8v or 3.3v external memories. the maximum speed is mck on the pin sdck (sdram clock) loaded with 30pf for power sup- ply at 1.8v and 50 pf for power supply at 3.3v. the maximum speed on the other signals of the external bus interface (control, address and data signals) is 50 mhz. the voltage ranges are determined by programming registers in the chip configuration registers located in the matrix user interface. at reset, the selected voltage defaults to 3.3v nominal and power supply pins can accept either 1.8v or 3.3v. the user must make sure to program the ebi voltage range before getting the device out of its slow clock mode. the pio lines are supplied through vddiop and th e speed of the signal that can be driven on them can reach 50 mhz with 50 pf load. vin ce vss vout adj 10f 0.1f 10f mic5235ym5 1k 100k vin 1v2_usb 0.1f 1v2_usb 2.2h vddpllb 0.1f 1v2_usb 2.2h vddutmic 0.1f 3v3 2.2h vddutmii
18 6289d?atarm?3-oct-11 at91sam9r64/rl64 6. i/o line considerations 6.1 jtag port pins tms, tdi and tck are schmitt trigger inputs and have no pull-up resistors. tdo is an output, driven at up to vddiop, and have no pull-up resistor. the jtagsel pin is used to select the jtag boundary scan when asserted at a high level. it integrates a permanent pull-down resistor of about 15 k to gndbu, so that it can be left uncon- nected for normal operations. all the jtag signals are supplied with vd diop except jtagsel supplied by vddbu. 6.2 test pin the tst pin is used for manufacturing test purposes when asserted high. it integrates a perma- nent pull-down resistor of about 15 k to gndbu, so that it can be left unconnected for normal operations. driving this line at a high level leads to unpredictable results. this pin is supplied with vddbu. 6.3 reset pins nrst is an open-drain output integrating a non-programmable pull-up resistor. it can be driven with voltage at up to vddiop. as the product integrates power-on reset cells, which manages the processor and the jtag reset, the nrst and ntrst pin can be left unconnected. the nrst and ntrst pins integrates a permanent pull-up resistor of 100 k typical to vddiop. the nrst signal is inserted in the boundary scan. 6.4 pio controllers all the i/o lines which are managed by the pio controllers integrate a programmable pull-up resistor. refer to the section ?at91sam9r64/rl64 electrical characteristics? in the product datasheet for more details. after reset, all the i/o lines default as inputs wi th pull-up resistors enabled, except those which are multiplexed with the external bus interface signals that require to be enabled as peripheral at reset. this is explicitly indi cated in the column ?reset state? of the pio controller multiplexing tables. 6.5 shutdown logic pins the pin wkup is an input-only. it can accept voltages only between 0v and vddbu. 7. processor and architecture 7.1 arm926ej-s processor ? risc processor based on arm v5tej archit ecture with jazelle technology for java acceleration ? two instruction sets
19 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? arm high-performance 32-bit instruction set ? thumb high code density 16-bit instruction set ? dsp instruction extensions ? 5-stage pipeline architecture: ? instruction fetch (f) ? instruction decode (d) ? execute (e) ? data memory (m) ? register write (w) ? 4-kbyte data cache, 4-kbyte instruction cache ? virtually-addressed 4-way associative cache ? eight words per line ? write-through and write-back operation ? pseudo-random or round-robin replacement ? write buffer ? main write buffer with 16-word data buffer and 4-address buffer ? dcache write-back buffer with 8-word entries and a single address entry ? software control drain ? standard arm v4 and v5 memory management unit (mmu) ? access permission for sections ? access permission for large pages and small pages can be specified separately for each quarter of the page ? 16 embedded domains ? bus interface unit (biu) ? arbitrates and schedules ahb requests ? separate masters for both instruction and data access providing complete matrix system flexibility ? separate address and data buses for both the 32-bit instruction interface and the 32-bit data interface ? on address and data buses, data can be 8-bit (bytes), 16-bit (half-words) or 32-bit (words) 7.2 matrix masters the bus matrix of the at91sam9r64/rl64 pr oduct manages 6 masters, which means that each master can perform an access concu rrently with others, to an available slave. each master has its own decoder, which is defined specifically for each master. in order to sim- plify the addressing, all the masters have the same decodings. table 7-1. list of bus matrix masters master 0 dma controller master 1 usb device high speed dma master 2 lcd controller dma
20 6289d?atarm?3-oct-11 at91sam9r64/rl64 7.3 matrix slaves the bus matrix of the at91sam9r64/rl64 product manages 6 slaves. each slave has its own arbiter, allowing a different arbitration per slave. 7.4 master to slave access all the masters can normally access all the slaves. however, some paths do not make sense, for example allowing access from the usb device high speed dma to the internal peripherals. thus, these paths are forbidden or simply not wired, and shown as ?-? in the following table. 7.5 peripheral dma controller (pdc) ? acting as one ahb bus matrix master ? allows data transfers from/to peripheral to/from any memory space without any intervention of the processor. ? next pointer support, prevents strong real-time constraints on buffer management. the peripheral dma controller handles transfer requests from the channel according to the fol- lowing priorities (low to high priorities): master 3 peripheral dma controller master 4 arm926 ? instruction master 5 arm926 data table 7-1. list of bus matrix masters table 7-2. list of bus matrix slaves slave 0 internal rom slave 1 internal sram slave 2 lcd controller user interface slave 3 udp high speed ram slave 4 external bus interface (ebi) slave 5 peripheral bridge table 7-3. at91sam9r64/rl64 master to slave access masters 0 1 2 3 4 5 slaves dma controller usb hs device dma lcd controller dma peripheral dma arm926 instruction arm926 data 0 internal rom x x x x x 1 internal sram x x x x x x 2 lcd controller user interface - - - - x x 3 udp high speed ram - - - - x x 4 external bus interface x x x x x x 5 peripheral bridge x x x - - -
21 6289d?atarm?3-oct-11 at91sam9r64/rl64 a. twi0 transmit channel b. dbgu transmit channel c. usart3 transmit channel d. usart2 transmit channel e. usart1 transmit channel f. usart0 transmit channel g. ac97 transmit channel h. spi transmit channel i. ssc1 transmit channel j. ssc0 transmit channel k. twi0 receive channel l. dbgu receive channel m. adc receive channel n. usart3 receive channel o. usart2 receive channel p. usart1 receive channel q. usart0 receive channel r. ac97 receive channel s. spi receive channel t. ssc1 receive channel u. ssc0 transmit channel v. mci receive/tr ansmit channel 7.6 dma controller ? acting as one matrix master ? embeds 2 channels ? 16 bytes/fifo for channel buffering ? linked list support with status write back operation at end of transfer ? word, half-word, byte transfer support 7.7 debug and test features ? arm926 real-time in-circuit emulator ? two real-time watchpoint units ? two independent registers: debug control register and debug status register ? test access port accessible through jtag protocol ? debug communications channel ? debug unit ?two-pin uart ? debug communication channel interrupt handling ? chip id register ? ieee1149.1 jtag boundary-scan on all digital pins
22 6289d?atarm?3-oct-11 at91sam9r64/rl64 8. memories figure 8-1. at91sam9r64/rl64 memory mapping 16k bytes 0xfffc 0000 16k bytes 0xfffc 4000 0xfffc c000 spi 16k bytes 0xfffc 8000 16k bytes 16k bytes 16k bytes 0xfffa 4000 tco, tc1, tc2 0xfffa 8000 mci 0xfffb 0000 0xfffb 4000 usart0 0xfffb c000 usart1 0xfffa 0000 0xfffa c000 twi1 16k bytes twi0 16k bytes 16k bytes 0xfffb 8000 16k bytes 16k bytes ssc1 256m bytes 0x1000 0000 0x0000 0000 0x0fff ffff 0xf000 0000 0xefff ffff address memory space internal peripherals internal memories ebi chip select 0 ebi chip select 1/ sdramc ebi chip select 2 ebi chip select 3/ nandflash ebi chip select 4/ compact flash slot 0 ebi chip select 5/ compact flash slot 1 undefined (abort) 256m bytes 256m bytes 256m bytes 256m bytes 256m bytes 2,048m bytes 0x2000 0000 0x1fff ffff 0x3000 0000 0x2fff ffff 0x4000 0000 0x3fff ffff 0x6fff ffff 0x6000 0000 0x5fff ffff 0x5000 0000 0x4fff ffff 0x7000 0000 256m bytes 0xffff fd00 0xffff fc00 0xffff fa00 0xffff f800 0xffff f600 0xffff f400 0xffff f200 16 bytes 256 bytes 512 bytes 512 bytes 512 bytes 512 bytes pmc pioc piob pioa dbgu rstc 0xffff f000 512 bytes aic 0xffff ee00 512 bytes matrix 0xffff ec00 512 bytes smc 0xffff fd10 16 bytes shdc 0xffff ea00 512 bytes sdramc 0xffff fd20 16 bytes rttc 0xffff fd30 16 bytes pitc 0xffff fd40 16 bytes wdtc 0xffff fd60 16 bytes gpbr 0xffff fd70 reserved 256m bytes 1 mbytes 0x0020 0000 sram(2) 0x0030 0000 0x0010 0000 0x0040 0000 udphs ram 0x0050 0000 rom 0x0fff ffff peripheral mapping internal memory mapping boot memory (1) 0x0000 0000 (1) can be sram, rom depending on bms and the remap command notes : lcd controller user interface 0x0060 0000 0xffff c000 16k bytes sysc 0xffff ffff 0xffff ffff system controller mapping 0x0070 0000 undefined (abort) pwmc ac97 adc 1 mbytes 1 mbytes 1 mbytes 1 mbytes 0xffff e800 ecc 512 bytes 0xffff c000 reserved 0xffff ffff reserved 0xf000 0000 16k bytes 0xfffd 0000 512 bytes piod (2) software programmable itcm(2) dtcm(2) 1 mbytes 1 mbytes 0xffff ef10 ssc0 usart2 uart3 0xfffd 4000 0xfffd 8000 udphs 16k bytes 16k bytes 16k bytes 0xfffd c000 touchscreen rtcc 0xffff fe00 0xffff e600 dmac 512 bytes reserved reserved 0xffff fd50 sckcr 16 bytes 128 bytes
23 6289d?atarm?3-oct-11 at91sam9r64/rl64 a first level of address decoding is performed by the ahb bus matrix, i.e., the implementation of the advanced high performance bus (ahb) for its ma ster and slave interfaces with additional features. decoding breaks up the 4g bytes of address space into 16 banks of 256m bytes. the banks 1 to 8 are directed to the ebi that associates thes e banks to the external chip selects ebi_ncs0 to ebi_ncs5. the bank 0 is reserved for the addressing of the internal memories, and a second level of decoding provides 1m byte of internal memory area. the bank 15 is reserved for the peripherals and provides access to the advanced peripheral bus (apb). other areas are unused and performing an access within them provides an abort to the master requesting such an access. 8.1 embedded memories ? 32 kb rom ? single cycle access at full bus speed ? 64 kb fast sram ? single cycle access at full bus speed ? supports arm926ej-s tcm interface at full processor speed 8.1.1 internal memory mapping table 8-1 summarizes the internal memory mapping for each master, depending on the remap status (rcbx bit) and the bms state at reset. notes: 1. x = 0 to maximum master number. 2. ebi ncs0 is to be connected to a 16-bit non -volatile memory. the access configuration is defined by the reset state of smc setup, smc pulse, smc cycle and smc mode cs0 registers. 8.1.1.1 internal sram the at91sam9r64/rl64 product embeds a total of 64kbyte high-speed sram split in 4 blocks of 16kbytes. after reset and until the remap command is performed, the sram is only accessible at address 0x0030 0000. after remap, the sram also becomes available at address 0x0. this internal sram can be allocated to threes areas. its memory mapping is detailed in table 8- 2 . ? internal sram a is the arm926ej-s instruct ion tcm. the user can map this sram block anywhere in the arm926 instruction memory space using cp15 instructions and the tcr configuration register located in the chip configuration user in terface. this sram block is also accessible by the arm926 data master and by the ahb masters through the ahb bus at address 0x0010 0000. table 8-1. internal memory mapping address rcbx (1) = 0 rcbx (1) = 1 bms = 1 bms =0 0x0000 0000 rom ebi_ncs0 (2) sram
24 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? internal sram b is the arm926ej-s data tcm. the user can map this sram block anywhere in the arm926 data memory space using cp15 instructions. this sram block is also accessible by the arm926 data master and by the ahb masters through the ahb bus at address 0x0020 0000. ? internal sram c is only accessible by all th e ahb masters. after reset and until the remap command is performed, this sram block is accessible through the ahb bus at address 0x0030 0000 by all the ahb masters. afte r remap, this sram block also becomes accessible through the ahb bus at address 0x0 by the arm926 instruction and the arm926 data masters. within the 64kbyte sram size available, the amou nt of memory assigned to each block is soft- ware programmable as a multiple of 16k bytes according to table 8-2 . this table provides the size of the internal sram c according to the si ze of the internal sram a and the internal sram b. at reset, the whole memory is assigned to internal sram c. the memory blocks assigned to sram a, sram b and sram c areas are not contiguous and when the user dynamically changes the internal sram configuration, the new 16-kbyte block organization may affect the previous configuration from a software point of view. table 8-3 illustrates different configur ations and the rela ted 16-kbyte blocks (rb0 to rb3) assignments. note: 1. configurat ion after reset. table 8-2. internal sram block size remaining internal sram c internal sram a (itcm) size 0 16k bytes 32k bytes internal sram b (dtcm) size 0 64k bytes 48k bytes 32k bytes 16k bytes 48k bytes 32k bytes 16k bytes 32k bytes 32k bytes 16k bytes 0k bytes table 8-3. 16-kbyte block allocation example decoded area address configuration examples and related 16-kbyte block assignments i = 0k d = 0k a = 64k (1) i = 16k d = 0k a = 48k i =32k d = 0k a = 32k i = 0k d = 16k a = 48k i = 16k d = 16k a = 32k i = 32k d = 16k a = 16k i = 0k d = 32k a = 32k i = 16k d = 32k a = 16k i = 32k d = 32k a = 0k internal sram a (itcm) 0x0010 0000 rb1 rb1 rb1 rb1 rb1 rb1 0x0010 4000 rb0 rb0 rb0 internal sram b (dtcm) 0x0020 0000 rb3 rb3 rb3 rb3 rb3 rb3 0x0020 4000 rb2 rb2 rb2 internal sram c (ahb) 0x0030 0000 rb3 rb3 rb3 rb2 rb2 rb2 rb1 rb0 0x0030 4000 rb2 rb2 rb2 rb1 rb0 rb0 0x0030 8000 rb1 rb0 rb0 0x0030 c000 rb0
25 6289d?atarm?3-oct-11 at91sam9r64/rl64 when accessed from the ahb, the internal fast sram is single cycle a ccessible at full matrix speed (mck). when accessed from the processor?s tcm interface, they are also single cycle accessible at full processor speed. 8.1.1.2 internal rom the at91sam9r64/rl64 embeds an internal rom, which contains the sam-ba program. at any time, the rom is mapped at address 0x0040 0000. it is also accessible at address 0x0 (bms =1) after the reset and before the remap command. 8.1.2 boot strategies the system always boots at address 0x0. to ensure maximum boot possibilities, the memory layout can be changed with two parameters. remap allows the user to layout the internal sram bank to 0x0 to ease the development. this is done by software once the system has boot. refer to the bus matrix section for more details. when remap = 0 bms allows the user to lay out to 0x0, at his convenience, the rom or an external memory. this is done by a hardware way at reset. note: all the memory blocks can always be seen at their specified base addresses that are not concerned by these parameters. the at91sam9r64/rl64 bus matrix manages a boot memory that depends on the level on the pin bms at reset. the internal memory area mapped between address 0x0 and 0x000f ffff is reserved to this effect. if bms is detected at 1, the boot memory is the embedded rom. if bms is detected at 0, the boot memory is the memory connected on the chip select 0 of the external bus interface. 8.1.2.1 bms = 1, boot on embedded rom the system boots on boot program. ? boot on on-chip rc ? enable the 32768 hz oscillator ? auto baudrate detection ? downloads and runs an application from external storage media into internal sram ? downloaded code size depends on embedded sram size ? automatic detection of valid application ? bootloader on a non-volatile memory ? sdcard (boot rom does not support high-capacity sdcards) ?nand flash ? spi dataflash ? connected on npcs0 of the spi0 ? sam-ba boot in case no valid program is detected in external nvm, supporting ? serial communication on a dbgu ? usb device hs port 8.1.2.2 bms = 0, boot on external memory ? boot on on-chip rc
26 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? boot with the default configuration for the static memory controller, byte select mode, 16-bit data bus, read/write controlled by chip select, allows boot on 16-bit non-volatile memory. for optimization purposes, nothing else is done. to speed up the boot sequence user pro- grammed software should perform a complete configuration: ? enable the 32768 hz oscillato r if best accuracy needed ? program the pmc (main oscilla tor enable or bypass mode) ? program and start the pll ? reprogram the smc setup, cycle, hold, mode timi ngs registers for cs0 to adapt them to the new clock ? switch the main clock to the new value 8.2 external memories the at91sam9r64/rl64 features one external bus interface to offer interface to a wide range of external memories and to any parallel peripheral. 8.2.1 external bus interface ? integrates three external memory controllers: ? static memory controller ? sdram controller ? slc nand flash ecc controller ? additional logic for nand flash and compactflash tm ? optional full 32-bit external data bus ? up to 26-bit address bus (up to 64mbytes linear per chip select) ? up to 6 chips selects, configurable assignment: ? static memory controller on ncs0 ? sdram controller (sdcs) or stat ic memory controller on ncs1 ? static memory controller on ncs2 ? static memory controller on ncs3, optional nand flash support ? static memory controller on ncs 4 - ncs5, optional compactflash m support 8.2.2 static memory controller ? 8-, 16- or 32-bit data bus ? multiple access modes supported ? byte write or byte select lines ? asynchronous read in page mode supported (4- up to 32-byte page size) ? multiple device adaptability ? control signals programmable setup, pulse and hold time for each memory bank ? multiple wait state management ? programmable wait state generation ? external wait request ? programmable data float time ? slow clock mode supported
27 6289d?atarm?3-oct-11 at91sam9r64/rl64 8.2.3 sdram controller ? supported devices: ? standard and low power sdram (mobile sdram) ? 2k, 4k, 8k row address memory parts ? sdram with two or four internal banks ? sdram with 16- or 32-bit data path ? programming facilities ? word, half-word, byte access ? automatic page break when memory boundary has been reached ? multibank ping-pong access ? timing parameters specified by software ? automatic refresh operation, refresh rate is programmable ? energy-saving capabilities ? self-refresh, power down and deep power down modes supported ? error detection ? refresh error interrupt ? sdram power-up initialization by software ? sdram cas latency of 1, 2 and 3 supported ? auto precharge command not used 8.2.4 nand flash error corrected code controller ? tracking the accesses to a nand flash device by trigging on the corresponding chip select ? single bit error correction and 2-bit random detection. ? automatic hamming code calculation while writing ? ecc value available in a register ? automatic hamming code calculation while reading ? error report, including error flag, correctable error flag and word address being detected erroneous ? support 8- or 16-bit nand flash devices with 512-, 1024-, 2048- or 4096-bytes pages
28 6289d?atarm?3-oct-11 at91sam9r64/rl64 9. system controller the system controller is a set of peripherals, which allow handling of key elements of the sys- tem, such as power, resets, clocks, time, interrupts, watchdog, etc. the system controller user interface embeds also the registers allowing to configure the matrix and a set of registers configuring the ebi chip select assignment and the voltage range for exter- nal memories. 9.1 system controller mapping as shown in figure 8-1 , the system controller?s peripheral s are all mapped within the highest 16k bytes of the 4 gbyte address space, between addresses 0xffff c000 and 0xffff ffff. however, all the registers of system controller are mapped on the top of the address space. this allows addressing all the registers of the s ystem controller from a single pointer by using the standard arm instruction set, as the load/store instruction have an indexing mode of +/- 4kbytes.
29 6289d?atarm?3-oct-11 at91sam9r64/rl64 9.2 block diagram figure 9-1. system controller block diagram nrst slck advanced interrupt controller real-time timer periodic interval timer reset controller pa0-pa31 periph_nreset system controller watchdog timer wdt_fault wdrproc pio controllers power management controller xin xout pllrca mainck pllack pit_irq mck proc_nreset wdt_irq periph_irq[2..4] periph_nreset periph_clk[2..24] pck mck pmc_irq nirq nfiq rtt_irq embedded peripherals periph_clk[2..4] pck[0-1] in out enable arm926ej-s slck slck irq fiq irq0-irq2 fiq periph_irq[6..24] periph_irq[2..24] int int periph_nreset periph_clk[6..24] jtag_nreset por_ntrst proc_nreset periph_nreset dbgu_txd dbgu_rxd pit_irq dbgu_irq pmc_irq rstc_irq wdt_irq rstc_irq slck boundary scan tap controller jtag_nreset debug pck debug idle debug bus matrix mck periph_nreset proc_nreset backup_nreset periph_nreset idle debug unit dbgu_irq mck dbgu_rxd periph_nreset dbgu_txd rtt_alarm shutdown controller slck rtt_alarm backup_nreset shdn wkup 4 general-purpose backup registers backup_nreset xin32 xout32 pb0-pb31 pc0-pc31 vddbu powered vddcore powered ntrst vddcore por 12mhz main osc plla vddbu por slow clock osc upll por_ntrst vddbu rtt_irq hsck usb high speed device port hsck periph_nreset periph_irq[22] rc osc pd0-pd21 sckcr real-time clock rtc_irq slck backup_nreset rtc_alarm rtt_alarm rtc_alarm periph_clk[22]
30 6289d?atarm?3-oct-11 at91sam9r64/rl64 9.3 reset controller the reset controller is based on two power-on-reset cells, one on vddbu and one on vddcore. the reset controller is capable to return to the software the source of the last reset, either a general reset (vddbu rising), a wake-up reset (vddcore rising), a software reset, a user reset or a watchdog reset. the reset controller controls the internal resets of the system and the nrst pin output. it is capable to shape a reset signal for the external devices, simplifying to a minimum connection of a push-button on the nrst pin to implement a manual reset. the configuration of the reset controller is saved as supplied on vddbu. 9.4 shutdown controller the shutdown controller is supplied on vddbu and allows a software-controllable shut down of the system through the pi n shdn. an input change of the wk up pin or an alarm releases the shdn pin, and thus wakes up the system power supply. 9.5 clock generator the clock generator is made up of: ? one low-power 32768 hz slow clock oscillator with bypass mode ? one low-power rc oscillator ? one 12 mhz main oscillato r, which can be bypassed ? one 480 mhz pll (upll or pllb) providing a clock for the usb high speed device controller ? one 80 to 240 mhz programmable pll, providing the pll clock (pllck). this pll has an input divider to offer a wider range of output frequencies from the 12 mhz input, the only limitation being the lowest input frequency shall be higher or equal to 1 mhz.
31 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 9-2. clock generator block diagram 9.6 slow clock selection 9.6.1 description the at91sam9r64/rl64 slow clock can be generated either by an external 32768hz crystal or the on-chip rc oscillator. the 32768hz crystal os cillator can be bypassed to accept an external slow clock on xin32. configuration is located in the slow clock control register (sckcr) located at address 0xfffffd50 in the backed up part of the system controller and so is preserved while vddbu is present. refer to the ?clock generator? section for more details. 9.7 power management controller the power management controller provides all the clock signals to the system. it provides: ? the processor clock pck ? the master clock mck, in particular to the matrix and the memory interfaces ? the usb device hs clock hsck ? independent peripheral clocks, typically at the frequency of mck ? two programmable clock outputs: pck0 and pck1 this allows the software control of five flexible operating modes: ? normal mode, processor and peripherals running at a programmable frequency ? idle mode, processor stopped waiting for an interrupt ? slow clock mode, processor and peripherals running at low frequency power management controller xin xout main clock mainck control status pll and divider pllrca pll clock pllck 12m main oscillator upll (pllb) on chip rc osc slow clock slck xin32 xout32 slow clock oscillator clock generator rcen hsck oscsel osc32en osc32byp
32 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? standby mode, mix of idle and backup mode, peripheral running at low frequency, processor stopped waiting for an interrupt ? backup mode, main power supplies off, vddbu powered by a battery figure 9-3. at91sam9r64/rl64 power management controller block diagram 9.8 periodic interval timer ? includes a 20-bit periodic coun ter, with less than 1 s accuracy ? includes a 12-bit interval overlay counter ? real time os or linux ? /windowsce ? compliant tick generator 9.9 watchdog timer ? 16-bit key-protected only-once-programmable counter ? windowed, prevents the processor to be in a dead-lock on the watchdog access 9.10 real-time timer ? real-time timer, allowing backup of time with different accuracies ? 32-bit free-running back-up counter ? integrates a 16-bit programmable prescaler running on slow clock ? alarm register capable to generate a wake-up of the system through the shut down controller 9.11 real-time clock ? low power consumption ? full asynchronous design mck periph_clk[..] int slck mainck pllck prescaler /1,/2,/4,...,/64 pck processor clock controller idle mode master clock controller peripherals clock controller on/off slck mainck pllck prescaler /1,/2,/4,...,/64 programmable clock controller pck[..] on/off
33 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? two hundred year calendar ? programmable periodic interrupt ? alarm and update parallel load ? control of alarm and update time/calendar data in 9.12 general-purpose backed-up registers ? four 32-bit backup general-purpose registers 9.13 advanced interrupt controller ? controls the interrupt lines (nirq and nfiq) of the arm processor ? thirty-two individually maskable and vectored interrupt sources ? source 0 is reserved for the fast interrupt input (fiq) ? source 1 is reserved for system peripherals (pit, rtt, pmc, dbgu, etc.) ? programmable edge-triggered or level-sensitive internal sources ? programmable positive/negative edge-triggered or high/low level-sensitive ? one external sources plus the fast interrupt signal ? 8-level priority controller ? drives the normal interrupt of the processor ? handles priority of the interrupt sources 1 to 31 ? higher priority interrupts can be served during service of lower priority interrupt ? vectoring ? optimizes interrupt service routine branch and execution ? one 32-bit vector register per interrupt source ? interrupt vector register reads the corresponding current interrupt vector ?protect mode ? easy debugging by preventing automatic operations when protect modeis are enabled ?fast forcing ? permits redirecting any normal interrupt source on the fast interrupt of the processor 9.14 debug unit ? composed of two functions ?two-pin uart ? debug communication channel (dcc) support ?two-pin uart ? implemented features are 100% compatible with the standard atmel usart ? independent receiver and transmitter with a common programmable baud rate generator ? even, odd, mark or space parity generation ? parity, framing and overrun error detection ? automatic echo, local loopback and remote loopback channel modes
34 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? support for two pdc channels with connection to receiver and transmitter ? debug communication channel support ? offers visibility of and interrupt trigge r from commrx and commtx signals from the arm processor?s ice interface 9.15 chip identification ? chip id: 0x019b03a0 ? jtag id: 0x05b2003f ? arm926 tap id: 0x0792603f 9.16 pio controllers ? 4 pio controllers, pioa, piob, pioc and piod, controlling a maximum of 118 i/o lines ? each pio controller controls up to 32 programmable i/o lines ? pioa has 32 i/o lines ? piob has 32 i/o lines ? pioc has 32 i/o lines ? piod has 22 i/o lines ? fully programmable through set/clear registers ? multiplexing of two peripheral functions per i/o line ? for each i/o line (whether assigned to a peripheral or used as general purpose i/o) ? input change interrupt ? glitch filter ? multi-drive option enables driving in open drain ? programmable pull up on each i/o line ? pin data status register, supplies visib ility of the level on the pin at any time ? synchronous output, provides set and clear of several i/o lines in a single write 10. peripherals 10.1 peripheral mapping as shown in figure 8-1 , the peripherals are mapped in the upper 256m bytes of the address space between the addresses 0xfffa 0000 and 0xfffc ffff. each user peripheral is allocated 16k bytes of address space.
35 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.2 peripheral identifiers the table 10-1 defines the peripheral identifiers of the at91sam9r64/rl64. a peripheral iden- tifier is required for the control of the peripheral interrupt with the advanced interrupt controller and for the control of the peripheral clock with the power management controller. note: setting aic, sysirq, lcdc and irq bits in the clock set/clear registers of the pmc has no effect. table 10-1. at91sam9r64/rl64 peripheral identifiers peripheral id peripheral mnemonic peripheral name external interrupt 0aic advanced interrupt controller fiq 1sysc system controller interrupt 2pioa parallel i/o controller a, 3 piob parallel i/o controller b 4 pioc parallel i/o controller c 5 piod parallel i/o controller d 6us0 usart 0 7us1 usart 1 8us2 usart 2 9us3 usart 3 10 mci multimedia card interface 11 twi0 two-wire interface 0 12 twi1 two-wire interface 1 13 spi serial peripheral interface 14 ssc0 synchronous serial controller 0 15 ssc1 synchronous serial controller 1 16 tc0 timer counter 0 17 tc1 timer counter 1 18 tc2 timer counter 2 19 pwmc pulse width modulation controller 20 tsadcc touch screen adc controller 21 dmac dma controller 22 udphs usb device high speed 23 lcdc lcd controller (at91sam9rl64 only) 24 ac97 ac97 controller 25-30 - reserved 31 aic advanced interrupt controller irq
36 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.3 peripheral interr upts and clock control 10.3.1 system interrupt the system interrupt in source 1 is the wired-or of the interrupt signals coming from: ? the sdram controller ? the debug unit ? the periodic interval timer ? the real-time timer ? the real-time clock ? the watchdog timer ? the reset controller ? the power management controller the clock of these peripherals cannot be deacti vated and peripheral id 1 can only be used within the advanced interrupt controller. 10.3.2 external interrupts all external interrupt signals, i.e., the fast interr upt signal fiq or the interrupt signal irq, use a dedicated peripheral id. however, there is no clock control associated with these peripheral ids. 10.4 peripherals signals multiplexing on i/o lines the at91sam9r64/rl64 features 4 pio controllers, pioa, piob, pioc and piod, which mul- tiplexes the i/o lines of the peripheral set. each pio controller controls up to 32 lines. each line can be assigned to one of two peripheral functions, a or b. the multiplexing tables in the following paragraphs define how the i/o lines of the peripherals a and b are multiplexed on the pio controllers. the two columns ?function? and ?comments? have been inserted in this table for the user?s own comments; they may be used to track how pins are defined in an application. note that some peripheral functions which are output only, might be duplicated within the both tables. the column ?reset state? indicates whether the pio line resets in i/o mode or in peripheral mode. if i/o is mentioned, the pio line resets in input with the pull-up enabled, so that the device is maintained in a static state as soon as the reset is released. as a result, the bit corre- sponding to the pio line in the register pio_ psr (peripheral status register) resets low. if a signal name is mentioned in the ?reset stat e? column, the pio line is assigned to this func- tion and the corresponding bit in pio_psr resets high. this is the case for pins controlling memories, in particular the address lines, which requ ire the pin to be driven as soon as the reset is released. note that the pull-up resistor is also enabled in this case. the at91sam9rl64 and at91sam9r64 do not have the same peripheral signal multiplexing, each one follows.
37 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.1 at91sam9rl64 pio multiplexing 10.4.1.1 at91sam9rl64 pio controller a multiplexing table 10-2. at91sam9rl64 multiplexing on pio controller a pio controller a application usage i/o line peripheral a peripheral b reset state power supply function comments pa0 mc_da0 i/o vddiop pa1 mc_cda i/o vddiop pa2 mc_ck i/o vddiop pa3 mc_da1 tclk0 i/o vddiop pa4 mc_da2 tioa0 i/o vddiop pa5 mc_da3 tiob0 i/o vddiop pa6 txd0 i/o vddiop pa7 rxd0 i/o vddiop pa8 sck0 rf1 i/o vddiop pa9 rts0 rk1 i/o vddiop pa10 cts0 rk0 i/o vddiop pa11 txd1 i/o vddiop pa12 rxd1 i/o vddiop pa13 txd2 td1 i/o vddiop pa14 rxd2 rd1 i/o vddiop pa15 td0 i/o vddiop pa16 rd0 i/o vddiop pa17 ad0 i/o vddana pa18 ad1 rts1 i/o vddana pa19 ad2 cts1 i/o vddana pa20 ad3 sck3 i/o vddana pa21 drxd i/o vddiop pa22 dtxd rf0 i/o vddiop pa23 twd0 i/o vddiop pa24 twck0 i/o vddiop pa25 miso i/o vddiop pa26 mosi i/o vddiop pa27 spck i/o vddiop pa28 npcs0 i/o vddiop pa29 rts2 tf1 i/o vddiop pa30 cts2 tk1 i/o vddiop pa31 nwait irq i/o vddiop
38 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.1.2 at91sam9rl64 pio controller b multiplexing table 10-3. at91sam9rl64 multiplexing on pio controller b pio controller b application usage i/o line peripheral a peripheral b reset state power supply function comments pb0 txd3 i/o vddiop pb1 rxd3 i/o vddiop pb2 a21/nandale a21 vddiom pb3 a22/nandcle a22 vddiom pb4 nandoe i/o vddiom pb5 nandwe i/o vddiom pb6 ncs3/nandcs i/o vddiom pb7 ncs4/cfcs0 npcs1 i/o vddiom pb8 cfce1 pwm0 i/o vddiom pb9 cfce2 pwm1 i/o vddiom pb10 a25/cfrnw fiq a25 vddiom pb11 a18 a18 vddiom pb12 a19 a19 vddiom pb13 a20 a20 vddiom pb14 a23 pck0 a23 vddiom pb15 a24 adtrg a24 vddiom pb16 d16 i/o vddiom pb17 d17 i/o vddiom pb18 d18 i/o vddiom pb19 d19 i/o vddiom pb20 d20 i/o vddiom pb21 d21 i/o vddiom pb22 d22 i/o vddiom pb23 d23 i/o vddiom pb24 d24 i/o vddiom pb25 d25 i/o vddiom pb26 d26 i/o vddiom pb27 d27 i/o vddiom pb28 d28 i/o vddiom pb29 d29 i/o vddiom pb30 d30 i/o vddiom pb31 d31 i/o vddiom
39 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.1.3 at91sam9rl64 pio controller c multiplexing table 10-4. at91sam9rl64 multiplexing on pio controller c pio controller c application usage i/o line peripheral a peripheral b reset state power supply function comments pc0 tf0 i/o vddiop pc1 tk0 lcdpwr i/o vddiop pc2 lcdmod pwm0 i/o vddiop pc3 lcdcc pwm1 i/o vddiop pc4 lcdvsync i/o vddiop pc5 lcdhsync i/o vddiop pc6 lcddotck i/o vddiop pc7 lcdden i/o vddiop pc8 lcdd0 lcdd2 i/o vddiop pc9 lcdd1 lcdd3 i/o vddiop pc10 lcdd2 lcdd4 i/o vddiop pc11 lcdd3 lcdd5 i/o vddiop pc12 lcdd4 lcdd6 i/o vddiop pc13 lcdd5 lcdd7 i/o vddiop pc14 lcdd6 lcdd10 i/o vddiop pc15 lcdd7 lcdd11 i/o vddiop pc16 lcdd8 lcdd12 i/o vddiop pc17 lcdd9 lcdd13 i/o vddiop pc18 lcdd10 lcdd14 i/o vddiop pc19 lcdd11 lcdd15 i/o vddiop pc20 lcdd12 lcdd18 i/o vddiop pc21 lcdd13 lcdd19 i/o vddiop pc22 lcdd14 lcdd20 i/o vddiop pc23 lcdd15 lcdd21 i/o vddiop pc24 lcdd16 lcdd22 i/o vddiop pc25 lcdd17 lcdd23 i/o vddiop pc26 lcdd18 i/o vddiop pc27 lcdd19 i/o vddiop pc28 lcdd20 i/o vddiop pc29 lcdd21 tioa1 i/o vddiop pc30 lcdd22 tiob1 i/o vddiop pc31 lcdd23 tclk1 i/o vddiop
40 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.1.4 at91sam9rl64 pio controller d multiplexing table 10-5. at91sam9rl64 multiplexing on pio controller d pio controller d application usage i/o line peripheral a peripheral b comments reset state power supply function comments pd0 ncs2 i/o vddiop pd1 ac97_fs i/o vddiop pd2 ac97_ck sck1 i/o vddiop pd3 ac97_tx cts3 i/o vddiop pd4 ac97_rx rts3 i/o vddiop pd5 dtxd pwm2 i/o vddiop pd6 ad4 i/o vddana pd7 ad5 i/o vddana pd8 npcs2 pwm3 i/o vddiop pd9 sck2 npcs3 i/o vddiop pd10 twd1 tioa2 i/o vddiop pd11 twck1 tiob2 i/o vddiop pd12 pwm2 pck1 i/o vddiop pd13 ncs5/cfcs1 npcs3 i/o vddiop pd14 dsr0 pwm0 i/o vddiop pd15 dtr0 pwm1 i/o vddiop pd16 dcd0 pwm2 i/o vddiop pd17 ri0 i/o vddiop pd18 pwm3 i/o vddiop pd19 pck0 i/o vddiop pd20 pck1 i/o vddiop pd21 tclk2 i/o vddiop
41 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.2 at91sam9r64 pio multiplexing note: in table 10-6 , table 10-7 , table 10-8 and table 10-9 , shaded cells indicate i/o lines that are not available on the at91sam9r64. 10.4.2.1 at91sam9r64 pio co ntroller a multiplexing table 10-6. at91sam9r64 multiplexing on pio controller a pio controller a application usage i/o line peripheral a peripheral b reset state power supply function comments pa0 mc_da0 i/o vddiop pa1 mc_cda i/o vddiop pa2 mc_ck i/o vddiop pa3 mc_da1 tclk0 i/o vddiop pa4 mc_da2 tioa0 i/o vddiop pa5 mc_da3 tiob0 i/o vddiop pa6 txd0 i/o vddiop pa7 rxd0 i/o vddiop pa 8 na na reserved pa 9 na na reserved pa10 cts0 rk0 i/o vddiop pa11 txd1 i/o vddiop pa12 rxd1 i/o vddiop pa13 txd2 i/o vddiop pa14 rxd2 i/o vddiop pa15 td0 i/o vddiop pa16 rd0 i/o vddiop pa17 ad0 i/o vddiop pa18 ad1 rts1 i/o vddiop pa19 ad2 cts1 i/o vddiop pa 2 0 na na reserved pa21 drxd i/o vddiop pa22 dtxd rf0 i/o vddiop pa23 twd0 i/o vddiop pa24 twck0 i/o vddiop pa25 miso i/o vddiop pa26 mosi i/o vddiop pa27 spck i/o vddiop pa28 npcs0 i/o vddiop pa 2 9 na na reserved pa 3 0 na na reserved pa31 nwait irq i/o vddiop
42 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.2.2 at91sam9r64 pio controller b multiplexing table 10-7. at91sam9r64 multiplexing on pio controller b pio controller b application usage i/o line peripheral a peripheral b reset state power supply function comments pb0 txd3 i/o vddiop pb1 rxd3 i/o vddiop pb2 a21/nandale a21 vddiom pb3 a22/nandcle a22 vddiom pb4 nandoe i/o vddiom pb5 nandwe i/o vddiom pb6 ncs3/nandcs i/o vddiom pb7 ncs4/cfcs0 npcs1 i/o vddiom pb8 cfce1 pwm0 i/o vddiom pb9 cfce2 pwm1 i/o vddiom pb10 a25/cfrnw fiq a25 vddiom pb11 a18 a18 vddiom pb12 a19 a19 vddiom pb13 a20 a20 vddiom pb14 a23 pck0 a23 vddiom pb15 a24 adtrg a24 vddiom pb16- pb31 na na reserved
43 6289d?atarm?3-oct-11 at91sam9r64/rl64 10.4.2.3 at91sam9r64 pio controller c multiplexing 10.4.2.4 at91sam9r64 pio controller d multiplexing table 10-8. at91sam9r64 multiplexing on pio controller c pio controller c application usage i/o line peripheral a peripheral b reset state power supply function comments pc0 tf0 i/o vddiop pc1 tk0 i/o vddiop pc2- pc31 na na reserved table 10-9. at91sam9r64 multiplexing on pio controller d pio controller d application usage i/o line peripheral a peripheral b comments reset state power supply function comments pd0- pd17 na na reserved pd18 pwm3 i/o vddiop pd19 pck0 i/o vddiop pd20 pck1 i/o vddiop pd21 tclk2 i/o vddiop
44 6289d?atarm?3-oct-11 at91sam9r64/rl64 11. embedded peripherals overview 11.1 serial peripheral interface (spi) ? supports communication with serial external devices ? four chip selects with external decoder support allow communication with up to 15 peripherals ? serial memories, such as dataflash and 3-wire eeproms ? serial peripherals, such as adcs, dacs, lcd controllers, can controllers and sensors ? external co-processors ? master or slave serial peripheral bus interface ? 8- to 16-bit programmable data length per chip select ? programmable phase and polarity per chip select ? programmable transfer delays between consecutive transfers and between clock and data per chip select ? programmable delay between consecutive transfers ? selectable mode fault detection ? very fast transfers supported ? transfers with baud rates up to mck ? the chip select line may be left active to speed up transfers on the same device 11.2 two-wire interface (twi) ? compatibility with standard two-wire serial memory ? one, two or three bytes for slave address ? sequential read/write operations ? supports either master or slave modes ? compatible with standard two-wire serial memories ? master, multi-master and slave mode operation ? bit rate: up to 400 kbits ? general call supported in slave mode ? connection to peripheral dma controller (p dc) channel capabilit ies optimizes data transfers in master mode only ? one channel for the receiver, one channel for the transmitter ? next buffer support 11.3 usart ? programmable baud rate generator ? 5- to 9-bit full-duplex synchronous or asynchronous serial communications ? 1, 1.5 or 2 stop bits in asynchronous mode or 1 or 2 stop bits in synchronous mode ? parity generation and error detection ? framing error detection, overrun error detection ? msb- or lsb-first
45 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? optional break generation and detection ? by 8 or by-16 over-sampling receiver frequency ? hardware handshaking rts-cts ? receiver time-out and transmitter timeguard ? optional multi-drop mode with address generation and detection ? optional manchester encoding ? rs485 with driver control signal ? iso7816, t = 0 or t = 1 protocols for interfacing with smart cards ? nack handling, error counter with repetition and iteration limit ? irda modulation and demodulation ? communication at up to 115.2 kbps ? test modes ? remote loopback, local loopback, automatic echo 11.4 serial synchronous controller (ssc) ? provides serial synchronous communication links used in audio and telecom applications (with codecs in master or slave modes, i 2 s, tdm buses, magnetic card reader, etc.) ? contains an independent receiver and transmitter and a common clock divider ? offers a configurable frame sync and data length ? receiver and transmitter can be programmed to start automatically or on detection of different event on the frame sync signal ? receiver and transmitter include a data signal , a clock signal and a frame synchronization signal 11.5 ac97 controller ? compatible with ac97 component specification v2.2 ? capable to interface with a single analog front end ? three independent rx channels and three independent tx channels ? one rx and one tx channel dedicated to the ac97 analog front end control ? one rx and one tx channel for data transfers, associated with a pdc ? one rx and one tx channel for data transfers with no pdc ? time slot assigner allowing to assign up to 12 time slots to a channel ? channels support mono or stereo up to 20 bit sample length ? variable sampling rate ac97 codec interface (48khz and below) 11.6 timer counter (tc) ? three 16-bit timer counter channels ? wide range of functions including: ? frequency measurement ? event counting ? interval measurement ? pulse generation
46 6289d?atarm?3-oct-11 at91sam9r64/rl64 ?delay timing ? pulse width modulation ? up/down capabilities ? each channel is user-configurable and contains: ? three external clock inputs ? five internal clock inputs ? two multi-purpose input/output signals ? two global registers that act on all three tc channels 11.7 pulse width modulatio n controller (pwm) ? 4 channels, one 16-bit counter per channel ? common clock generator, providing thirteen different clocks ? a modulo n counter providing eleven clocks ? two independent linear dividers working on modulo n counter outputs ? independent channel programming ? independent enable disable commands ? independent clock selection ? independent period and duty cycle, with double bufferization ? programmable selection of the output waveform polarity ? programmable center or left aligned output waveform 11.8 multimedia card interface (mci) ? compatibility with multimedia ca rd specification version 3.31 ? compatibility with sd memory ca rd specification version 1.0 ? compatibility with sdio specification version v1.1 ? cards clock rate up to master clock divided by 2 ? embedded power management to slow down clock rate when not used ? mci has one slot supporting ? one multimediacard bus (up to 30 cards) or ? one sd memory card ? one sdio card ? support for stream, block and multi-block data read and write 11.9 usb high speed device port (udphs) ? usb v2.0 high-speed compliant, 480 mbits per second ? embedded usb v2.0 utmi+ high-speed transceiver ? embedded 4k-byte dual-port ram for endpoints ? embedded 6 channels dma controller ? suspend/resume logic ? up to 3 banks for isochronous and bulk endpoints ? seven endpoints:
47 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? endpoint 0: 64 bytes, 1 bank mode ? endpoint 1 & 2: 1024 bytes, 2 banks mode, hs isochronous capable, dma ? endpoint 3 & 4: 1024bytes, 3 banks mode, dma ? endpoint 5 & 6: 1024 bytes, 3 banks mode, hs isochronous capable, dma 11.10 lcd controller (lcdc) ? single and dual scan color and monochrome passive stn lcd panels supported ? single scan active tft lcd panels supported. ? 4-bit single scan, 8-bit single or dual scan , 16-bit dual scan stn interfaces supported ? up to 24-bit single scan tft interfaces supported ? up to 16 gray levels for mono stn and up to 4096 colors for color stn displays ? 1, 2 bits per pixel (palletized), 4 bits per pixel (non-palletized) for mono stn ? 1, 2, 4, 8 bits per pixel (palletized), 16 bits per pixel (non-palletized) for color stn ? 1, 2, 4, 8 bits per pixel (palletized), 16, 24 bits per pixel (non-palletized) for tft ? single clock domain architecture ? resolution supported up to 2048x2048 11.11 touch screen analog-to-d igital converter (tsadcc) ? 6-channel adc ? support 4-wire resistive touch screen ? 10-bit 384 ksamples/sec. successi ve approximation register adc ? -3/+3 lsb integral non linearity, -2/+2 lsb differential non linearity ? integrated 6-to-1 multiplexer, offering eight independent 3.3v analog inputs ? external voltage reference for better accuracy on low voltage inputs ? individual enable and disable of each channel ? multiple trigger sources ? hardware or software trigger ? external trigger pin ? timer counter 0 to 2 outputs tioa0 to tioa2 trigger ? sleep mode and conversion sequencer ? automatic wakeup on trigger and back to sleep mode after conversions of all enabled channels
48 6289d?atarm?3-oct-11 at91sam9r64/rl64
49 6289d?atarm?3-oct-11 at91sam9r64/rl64 12. arm926ej-s processor overview 12.1 overview the arm926ej-s processor is a member of the arm9 ? family of general-purpose microproces- sors. the arm926ej-s implements arm architectu re version 5tej and is targeted at multi- tasking applications where full memory management, high performance, low die size and low power are all important features. the arm926ej-s processor supports the 32- bit arm and 16-bit thumb instruction sets, enabling the user to trade off between high performance and high code density. it also supports 8-bit java instruction set and includes features fo r efficient execution of java bytecode, provid- ing a java performance similar to a jit (just-in-time compilers), for the next generation of java- powered wireless and embedded devices. it includes an enhanced multiplier design for improved dsp performance. the arm926ej-s processor supports the arm debug architecture and includes logic to assist in both hardware and software debug. the arm926ej-s provides a complete high performance processor subsystem, including: ? an arm9ej-s ? integer core ? a memory management unit (mmu) ? separate instruction and data amba ? ahb bus interfaces ? separate instruction and data tcm interfaces
50 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.2 block diagram figure 12-1. arm926ej-s internal functional block diagram 12.3 arm9ej-s processor 12.3.1 arm9ej-s operating states the arm9ej-s processor can operate in three different states, each with a specific instruction set: ? arm state: 32-bit, word-aligned arm instructions. ? thumb state: 16-bit, halfword-aligned thumb instructions. ? jazelle state: variable length, byte-aligned jazelle instructions. in jazelle state, all instru ction fetches are in words. 12.3.2 switching state the operating state of the arm9ej-s core can be switched between: ? arm state and thumb state using the bx and blx instructions, and loads to the pc arm9ej-s ice interface arm926ej-s embeddedice -rt processor etm interface coprocessor interface droute iroute iext icache mmu dcache dext ia tcm interface bus interface unit ahb ahb data ahb interface instruction ahb interface instr r data w data da
51 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? arm state and jazelle state using the bxj instruction all exceptions are entered, handled and exited in arm state. if an exception occurs in thumb or jazelle states, the processor reverts to arm state. the transition back to thumb or jazelle states occurs automatically on return from the exception handler. 12.3.3 instruction pipelines the arm9ej-s core uses two kinds of pipelines to increase the speed of the flow of instructions to the processor. a five-stage (five clock cycles) pipeline is used for arm and thumb states. it consists of fetch, decode, execute, memory and writeback stages. a six-stage (six clock cycles) pipeline is us ed for jazelle state it consists of fetch, jazelle/decode (two clock cycles), execute, memory and writeback stages. 12.3.4 memory access the arm9ej-s core supports byte (8-bit), half-word (16-bit) and word (32-bit) access. words must be aligned to four-byte boundaries, half-words must be aligned to two-byte boundaries and bytes can be placed on any byte boundary. because of the nature of the pipelines, it is possible for a value to be required for use before it has been placed in the register bank by the actions of an earlier instruction. the arm9ej-s con- trol logic automatically detects these cases and stalls the core or forward data. 12.3.5 jazelle technology the jazelle technology enables direct and efficient execution of java byte codes on arm pro- cessors, providing high performance for the next generation of java-powered wireless and embedded devices. the new java feature of arm9ej-s can be described as a hardware emulation of a jvm (java virtual machine). java mode will appear as another state: instead of executing arm or thumb instructions, it executes java byte codes. the java byte code decoder logic implemented in arm9ej-s decodes 95% of executed byte codes and turns them into ar m instructions without any overhead, while less frequently used byte codes are broken down into optimized sequences of arm instructions. the hardware/software split is invisible to the programmer, invisible to the application and invisible to the operating system . all existing arm registers are re-used in jazelle state and all registers then have particular functions in this mode. minimum interrupt latency is maintained across both arm state and java state. since byte codes execution can be restarted, an interrupt automatically triggers the core to switch from java state to arm state for the execution of the interrupt handler. this means that no special provision has to be made for handling interrupts while executing byte codes, whether in hard- ware or in software. 12.3.6 arm9ej-s operating modes in all states, there are seven operation modes: ? user mode is the usual arm program executio n state. it is used for executing most application programs ? fast interrupt (fiq) mode is used for handling fast interrupts. it is suitable for high-speed data transfer or channel process ? interrupt (irq) mode is used for general-purpose interrupt handling
52 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? supervisor mode is a protected mode for the operating system ? abort mode is entered after a data or instruction prefetch abort ? system mode is a privileged user mode for the operating system ? undefined mode is entered when an undefined instruction exception occurs mode changes may be made under software control, or may be brought about by external inter- rupts or exception processing. most application programs execute in user mode. the non-user modes, known as privileged modes, are entered in or der to service interrupts or exceptions or to access protecte d resources. 12.3.7 arm9ej-s registers the arm9ej-s core has a total of 37 registers: ? 31 general-purpose 32-bit registers ? 6 32-bit status registers table 12-1 shows all the registers in all modes. the arm state register set contains 16 directly-a ccessible registers, r0 to r15, and an additional register, the current program status register (cpsr). registers r0 to r13 are general-purpose table 12-1. arm9tdmi ? modes and registers layout user and system mode supervisor mode abort mode undefined mode interrupt mode fast interrupt mode r0 r0 r0 r0 r0 r0 r1 r1 r1 r1 r1 r1 r2 r2 r2 r2 r2 r2 r3 r3 r3 r3 r3 r3 r4 r4 r4 r4 r4 r4 r5 r5 r5 r5 r5 r5 r6 r6 r6 r6 r6 r6 r7 r7 r7 r7 r7 r7 r8 r8 r8 r8 r8 r8_fiq r9 r9 r9 r9 r9 r9_fiq r10 r10 r10 r10 r10 r10_fiq r11 r11 r11 r11 r11 r11_fiq r12 r12 r12 r12 r12 r12_fiq r13 r13_svc r13_abort r13_undef r13_irq r13_fiq r14 r14_svc r14_abort r14_undef r14_irq r14_fiq pc pc pc pc pc pc cpsr cpsr cpsr cpsr cpsr cpsr spsr_svc spsr_abort spsr_undef spsr_irq spsr_fiq mode-specific banked registers
53 6289d?atarm?3-oct-11 at91sam9r64/rl64 registers used to hold either data or address va lues. register r14 is used as a link register that holds a value (return address) of r15 when bl or blx is executed. register r15 is used as a pro- gram counter (pc), whereas the current program status register (cpsr) contains condition code flags and the current mode bits. in privileged modes (fiq, supervisor, abort, irq, undefined), mode-specific banked registers (r8 to r14 in fiq mode or r13 to r14 in the other modes) become available. the corresponding banked registers r14_fiq, r14_svc, r14_abt, r14_irq, r14_und are similarly used to hold the val- ues (return address for each mode) of r15 (pc) when interrupts and exceptions arise, or when bl or blx instructions are executed within interrupt or exception routines. there is another reg- ister called saved program status register (spsr) that becomes available in privileged modes instead of cpsr. this register contains condition code flags and the current mode bits saved as a result of the exception that caused entry to the current (privileged) mode. in all modes and due to a software agreement, register r13 is used as stack pointer. the use and the function of all the registers described above should obey arm procedure call standard (apcs) which defines: ? constraints on the use of registers ? stack conventions ? argument passing and result return the thumb state register set is a subset of the arm state set. the programmer has direct access to: ? eight general-purpose registers r0-r7 ? stack pointer, sp ? link register, lr (arm r14) ?pc ? cpsr there are banked re gisters sps, lrs and spsrs for each priv ileged mode (for more details see the arm9ej-s technical reference manual, ref. ddi0222b, revision r1p2 page 2-12). 12.3.7.1 status registers the arm9ej-s core contains one cpsr, and fi ve spsrs for exception handlers to use. the program status registers: ? hold information about the most recently performed alu operation ? control the enabling and disabling of interrupts ? set the processor operation mode
54 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 12-2. status register format figure 12-2 shows the status register format, where: ? n: negative, z: zero, c: carry, and v: overflow are the four alu flags ? the sticky overflow (q) flag can be set by certain multiply and fractional arithmetic instructions like qadd, qdadd, qsub, qdsub, smlaxy, and smlawy needed to achieve dsp operations. the q flag is sticky in that, when set by an instru ction, it remains set unt il explicitly cleared by an msr instruction writing to the cpsr. instructions cannot execute conditionally on the status of the q flag. ? the j bit in the cpsr indicates when the ar m9ej-s core is in jazelle state, where: ? j = 0: the processor is in arm or thumb state, depending on the t bit ? j = 1: the processor is in jazelle state. ? mode: five bits to encode the current processor mode 12.3.7.2 exceptions exception types and priorities the arm9ej-s supports five types of exceptions. each type drives the arm9ej-s in a privi- leged mode. the types of exceptions are: ? fast interrupt (fiq) ? normal interrupt (irq) ? data and prefetched aborts (abort) ? undefined instruction (undefined) ? software interrupt and reset (supervisor) when an exception occurs, the banked version of r14 and the spsr for the exception mode are used to save the state. more than one exception can happen at a time, therefore the arm9ej-s takes the arisen excep- tions according to the following priority order: ? reset (highest priority) ? data abort ?fiq ?irq ?prefetch abort ? bkpt, undefined instruction, and softwa re interrupt (swi) (lowest priority) nz cv q jift mode reserved mode bits thumb state bit fiq disable irq disable jazelle state bit reserved sticky overflow overflow carry/borrow/extend zero negative/less than 31 30 29 28 27 24 7 6 5 0
55 6289d?atarm?3-oct-11 at91sam9r64/rl64 the bkpt, or undefined instruction, and swi exceptions are mutually exclusive. there is one exception in the priority scheme though, when fiqs are enabled and a data abort occurs at the same time as an fiq, the arm9ej-s core enters the data abort handler, and pro- ceeds immediately to fiq vector. a normal return from the fiq causes the data abort handler to resume execution. data aborts must have higher priority than fiqs to ensure that the transfer error does not escape detection. exception modes and handling exceptions arise whenever the normal flow of a program must be halted temporarily, for exam- ple, to service an interrupt from a peripheral. when handling an arm exception, the arm9ej-s core performs the following operations: 1. preserves the address of the next instruction in the appropriate link register that cor- responds to the new mode that has been entered. when the exception entry is from: ? arm and jazelle states, the arm9ej-s copies the address of the next instruction into lr (current pc(r15) + 4 or pc + 8 depending on the exception). ? thumb state, the arm9ej-s writes the value of the pc into lr, offset by a value (current pc + 2, pc + 4 or pc + 8 depending on the exception) that causes the program to resume from the correct place on return. 2. copies the cpsr into the appropr iate spsr. 3. forces the cpsr mode bits to a value that depends on the exception. 4. forces the pc to fetch the next instruction from the relevant exception vector. the register r13 is also banked across exception modes to provide each exception handler with private stack pointer. the arm9ej-s can also set the interrupt disable flags to prevent otherwise unmanageable nesting of exceptions. when an exception has completed, the exception handler must move both the return value in the banked lr minus an offset to the pc and the spsr to the cpsr. t he offset value varies according to the type of exception. this action restores both pc and the cpsr. the fast interrupt mode has seven private registers r8 to r14 (banked registers) to reduce or remove the requirement for register saving wh ich minimizes the overhead of context switching. the prefetch abort is one of the aborts that indicates that the current memory access cannot be completed. when a prefetch abort occurs, the arm9ej-s marks the prefetched instruction as invalid, but does not take the exception until th e instruction reaches the execute stage in the pipeline. if the instruction is not executed, for ex ample because a branch occurs while it is in the pipeline, the abort does not take place. the breakpoint (bkpt) instruction is a new feat ure of arm9ej-s that is destined to solve the problem of the prefetch abort. a breakpoint instruction operates as though the instruction caused a prefetch abort. a breakpoint instruction does not cause the arm9ej-s to take the prefetch abort exception until the instruction reaches the execute stage of the pi peline. if the instruction is not executed, for example because a branch occurs while it is in the pipeline, the breakpoint does not take place. 12.3.8 arm instruction set overview the arm instruction set is divided into: ? branch instructions
56 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? data processing instructions ? status register transfer instructions ? load and store instructions ? coprocessor instructions ? exception-generating instructions arm instructions can be executed conditionally. every instruction contains a 4-bit condition code field (bits[31:28]). table 12-2 gives the arm instruction mnemonic list. table 12-2. arm instruction mnemonic list mnemonic operation mnemonic operation mov move mvn move not add add adc add with carry sub subtract sbc subtract with carry rsb reverse subtract rsc reverse subtract with carry cmp compare cmn compare negated tst test teq test equivalence and logical and bic bit clear eor logical exclusive or orr logical (inclusive) or mul multiply mla multiply accumulate smull sign long multiply umull unsigned long multiply smlal signed long multiply accumulate umlal unsigned long multiply accumulate msr move to status register mrs move from status register b branch bl branch and link bx branch and exchange swi software interrupt ldr load word str store word ldrsh load signed halfword ldrsb load signed byte ldrh load half word strh store half word ldrb load byte strb store byte ldrbt load register byte with translation strbt store register byte with tr a n s l a t i o n ldrt load register with translation strt store register with tr a n s l a t i o n ldm load multiple stm store multiple swp swap word swpb swap byte mcr move to coprocessor mrc move from coprocessor ldc load to coprocessor stc store from coprocessor cdp coprocessor data processing
57 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.3.9 new arm instruction set . notes: 1. a thumb blx contains two consecutiv e thumb instructions, and takes four cycles. 12.3.10 thumb instruction set overview the thumb instruction set is a re-encoded subset of the arm instruction set. the thumb instruction set is divided into: ? branch instructions ? data processing instructions ? load and store instructions ? load and store multiple instructions ? exception-generating instruction table 5 shows the thumb instruction set. table 12-4 gives the thumb instruction mnemonic list. table 12-3. new arm instruction mnemonic list mnemonic operation mnemonic operation bxj branch and exchange to java mrrc move double from coprocessor blx (1) branch, link and exchange mcr2 alternative move of arm reg to coprocessor smlaxy signed multiply accumulate 16 * 16 bit mcrr move double to coprocessor smlal signed multiply accumulate long cdp2 alternative coprocessor data processing smlawy signed multiply accumulate 32 * 16 bit bkpt breakpoint smulxy signed multiply 16 * 16 bit pld soft preload, memory prepare to load from address smulwy signed multiply 32 * 16 bit strd store double qadd saturated add stc2 alternative store from coprocessor qdadd saturated add with double ldrd load double qsub saturated subtract ldc2 alternative load to coprocessor qdsub saturated subtract with double clz count leading zeroes table 12-4. thumb instruction mnemonic list mnemonic operation mnemonic operation mov move mvn move not add add adc add with carry sub subtract sbc subtract with carry cmp compare cmn compare negated tst test neg negate and logical and bic bit clear
58 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.4 cp15 coprocessor coprocessor 15, or system control coprocessor cp15, is used to configure and control all the items in the list below: ? arm9ej-s ? caches (icache, dcache and write buffer) ?tcm ?mmu ? other system options to control these features, cp15 provides 16 additional registers. see table 12-5 . eor logical exclusive or or r logical (inclusive) or lsl logical shift left lsr logical shift right asr arithmetic shift right ror rotate right mul multiply blx branch, link, and exchange b branch bl branch and link bx branch and exchange swi software interrupt ldr load word str store word ldrh load half word strh store half word ldrb load byte strb store byte ldrsh load signed halfword ldrsb load signed byte ldmia load multiple stmia store multiple push push register to stack pop pop register from stack bcc conditional branch bkpt breakpoint table 12-4. thumb instruction mnemonic list (continued) mnemonic operation mnemonic operation table 12-5. cp15 registers register name read/write 0 id code (1) read/unpredictable 0 cache type (1) read/unpredictable 0 tcm status (1) read/unpredictable 1 control read/write 2 translation table base read/write 3 domain access control read/write 4 reserved none 5 data fault status (1) read/write 5 instruction fault status (1) read/write 6 fault address read/write 7 cache operations read/write
59 6289d?atarm?3-oct-11 at91sam9r64/rl64 notes: 1. register locations 0,5, and 13 each provid e access to more than one register. the register accessed depends on the value of the opcode_2 field. 2. register location 9 provides access to more than one register. the register accessed depends on the value of the crm field. 8 tlb operations unpredictable/write 9 cache lockdown (2) read/write 9 tcm region read/write 10 tlb lockdown read/write 11 reserved none 12 reserved none 13 fcse pid (1) read/write 13 context id (1) read/write 14 reserved none 15 test configuration read/write table 12-5. cp15 registers register name read/write
60 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.4.1 cp15 registers access cp15 registers can only be accessed in privileged mode by: ? mcr (move to coprocessor from arm register) instruction is used to write an arm register to cp15. ? mrc (move to arm register from coprocessor) instruction is used to read the value of cp15 to an arm register. other instructions like cdp, ldc, stc can cause an undefined instruction exception. the assembler code for these instructions is: mcr/mrc{cond} p15, opcode_1, rd, crn, crm, opcode_2. the mcr, mrc instructions bit pattern is shown below: ? crm[3:0]: specified coprocessor action determines specific coprocessor action. its value is dependen t on the cp15 register used. for details, refer to cp15 spe- cific register behavior. ? opcode_2[7:5] determines specific coprocessor operation code. by default, set to 0. ? rd[15:12]: arm register defines the arm register whose value is transferred to the co processor. if r15 is chosen, the result is unpredictable. ? crn[19:16]: coprocessor register determines the destination coprocessor register. ? l: instruction bit 0 = mcr instruction 1 = mrc instruction ? opcode_1[23:20]: coprocessor code defines the coprocessor specific code. value is c15 for cp15. ? cond [31:28]: condition for more details, see chapter 2 in arm926ej-s trm, ref. ddi0198b. 31 30 29 28 27 26 25 24 cond 1110 23 22 21 20 19 18 17 16 opcode_1 l crn 15 14 13 12 11 10 9 8 rd 1111 76543210 opcode_2 1 crm
61 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.5 memory management unit (mmu) the arm926ej-s processor implements an enhanced arm architecture v5 mmu to provide vir- tual memory features required by operating systems like symbian os ? , windowsce, and linux. these virtual memory features are memory acce ss permission controls and virtual to physical address translations. the virtual address generated by the cpu core is converted to a modified virtual address (mva) by the fcse (fast context switch extens ion) using the value in cp15 register13. the mmu translates modified virtual addresses to physical addresses by using a single, two-level page table set stored in physical memory. each entry in the set contains the access permissions and the physical address that correspond to the virtual address. the first level translation tables contain 4096 entries indexed by bits [31:20] of the mva. these entries contain a pointer to either a 1 mb secti on of physical memory along with attribute infor- mation (access permissions, domain, etc.) or an entry in the second level translation tables; coarse table and fine table. the second level translation tables contain tw o subtables, coarse table and fine table. an entry in the coarse table contains a pointer to both large pages and small pages along with access permissions. an entry in the fine table contains a pointer to large, small and tiny pages. table 7 shows the different attributes of each page in the physical memory. the mmu consists of: ? access control logic ? translation look-aside buffer (tlb) ? translation table walk hardware 12.5.1 access control logic the access control logic controls access information for every entry in the translation table. the access control logic checks two pieces of access information: domain and access permissions. the domain is the primary access control mechanism for a memory region; there are 16 of them. it defines the conditions necessary for an access to proceed. the domain determines whether the access permissions are used to qualify the access or whether they should be ignored. the second access control mechanism is access permissions that are defined for sections and for large, small and tiny pages. sections and tiny pages have a single set of access permissions whereas large and small pages can be associated with 4 sets of access permissions, one for each subpage (quarter of a page). table 12-6. mapping details mapping name mapping size access permission by subpage size section 1m byte section - large page 64k bytes 4 separated subpages 16k bytes small page 4k bytes 4 separated subpages 1k byte tiny page 1k byte tiny page -
62 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.5.2 translation look-aside buffer (tlb) the translation look-aside buffer (tlb) caches translated entries and thus avoids going through the translation process every time. when the tlb contains an entry for the mva (modi- fied virtual address), the access control logic dete rmines if the access is permitted and outputs the appropriate physical address corresponding to the mva. if access is not permitted, the mmu signals the cpu core to abort. if the tlb does not contain an entry for the mva, the translation table walk hardware is invoked to retrieve the translation information from the translation table in physical memory. 12.5.3 translation table walk hardware the translation table walk hardware is a logic that traverses the translation tables located in physical memory, gets the ph ysical address and access permissions and updates the tlb. the number of stages in the hardware table walking is one or two depending whether the address is marked as a section-mapped access or a page-mapped access. there are three sizes of page-mapped accesses and one size of section-mapped access. page- mapped accesses are for large pages, small pages and tiny pages. the translation process always begins with a level one fetch. a section-mapped access requires only a level one fetch, but a page-mapped access requires an additional level two fetch. for further details on the mmu, please refer to chapter 3 in arm926ej-s technical reference manual, ref. ddi0198b. 12.5.4 mmu faults the mmu generates an abort on the following types of faults: ? alignment faults (for data accesses only) ? translation faults ? domain faults ? permission faults the access control mechanism of the mmu detects the conditions that produce these faults. if the fault is a result of memory access, the mmu aborts the access and signals the fault to the cpu core.the mmu retains status and address information about faults generated by the data accesses in the data fault status register and fault address register. it also retains the status of faults generated by instruction fetches in the instruction fault status register. the fault status register (register 5 in cp15) indicates the cause of a data or prefetch abort, and the domain number of the aborted access when it happens. the fault address register (register 6 in cp15) holds the mva associated with the access that caused the data abort. for further details on mmu faults, please refer to chapter 3 in arm926ej-s technical reference manual, ref. ddi0198b. 12.6 caches and write buffer the arm926ej-s contains a 4 kb instruction cache (icache), a 4 kb data cache (dcache), and a write buffer. al though the icache and dcache s hare common features, each still has some specific mechanisms. the caches (icache and dcache) are four-way se t associative, addressed, indexed and tagged using the modified virtual address (mva), with a ca che line length of eight words with two dirty bits for the dcache. the icache and dcache provide mechanisms for cache lockdown, cache pollution control, and line replacement.
63 6289d?atarm?3-oct-11 at91sam9r64/rl64 a new feature is now supported by arm926ej-s caches called allocate on read-miss commonly known as wrapping. this feature enables the caches to perform cr itical word first cache refilling. this means that when a request for a word caus es a read-miss, the cache performs an ahb access. instead of loading the whole line (eight words), the cache loads the critical word first, so the processor can reach it quickly, and then the remaining words, no matter where the word is located in the line. the caches and the write buffer are controlled by the cp15 register 1 (control), cp15 register 7 (cache operations) and cp15 register 9 (cache lockdown). 12.6.1 instruction cache (icache) the icache caches fetched instructions to be executed by the processor. the icache can be enabled by writing 1 to i bit of the cp15 register 1 and disabled by writing 0 to this same bit. when the mmu is enabled, all instruction fetches are subject to translation and permission checks. if the mmu is disabled, all instructions fetches are cachable, no protection checks are made and the physical address is flat-mapped to the modified virtual address. with the mva use disabled, context switching incurs icache cleaning and/or invalidating. when the icache is disabled, all instruction fetches appear on external memory (ahb) (see tables 4-1 and 4-2 in page 4-4 in arm926ej-s trm, ref. ddi0198b). on reset, the icache entries are invalidated and the icache is disabled. for best performance, icache should be enabled as soon as possible after reset. 12.6.2 data cache (dcache) and write buffer arm926ej-s includes a dcache and a write buffer to reduce the effect of main memory band- width and latency on data access performance. the operations of dcache and write buffer are closely connected. 12.6.2.1 dcache the dcache needs the mmu to be enabled. all data accesses are subject to mmu permission and translation checks. data acce sses that are aborted by the mmu do not cause linefills or data accesses to appear on the amba asb interface. if the mmu is disabled, all data accesses are noncachable, nonbufferable, with no protecti on checks, and appear on the ahb bus. all addresses are flat-mapped, va = mva = pa, whic h incurs dcache cleaning and/or invalidating every time a context switch occurs. the dcache stores the physical address tag (pa tag) from which every line was loaded and uses it when writing modified lines back to external memory. this means that the mmu is not involved in write-back operations. each line (8 words) in the dcache has two dirty bits, one for the first four words and the other one for the second four words. these bits, if set, mark the associated half- lines as dirty. if the cache line is replaced due to a linefill or a cache cl ean operation, the dirty bits are used to decide whether all, half or none is written back to memory. dcache can be enabled or disabled by writing either 1 or 0 to bit c in register 1 of cp15 (see tables 4-3 and 4-4 on page 4-5 in arm926ej-s trm, ref. ddi0222b). the dcache supports write-through and write-back cache operations, selected by memory region using the c and b bits in the mmu translation tables.
64 6289d?atarm?3-oct-11 at91sam9r64/rl64 the dcache contains an eight data word entr y, single address entry write-back buffer used to hold write-back data for cache line eviction or cleaning of dirty cache lines. the write buffer can hold up to 16 words of data and four separate addresses. dcache and write buffer operations are closely connected as their configuration is set in each section by the page descriptor in the mmu translation table. 12.6.2.2 write buffer the arm926ej-s contains a write buffer that has a 16-word data buffer and a four- address buf- fer. the write buffer is used for all writes to a bufferable region, write-through region and write- back region. it also allows to avoid stalling the processor when writes to external memory are performed. when a store occurs, data is written to the write buffer at core speed (high speed). the write buffer then completes the store to external memory at bus speed (typically slower than the core speed). during this time, the arm9ej-s processor can preform other tasks. dcache and write buffer support write-back and write-through memory regions, controlled by c and b bits in each section and page descriptor within the mmu translation tables. write-though operation when a cache write hit occurs, the dcache line is updated. the updated data is then written to the write buffer which transfers it to external memory. when a cache write miss occurs, a line, chosen by round robin or another algorithm, is stored in the write buffer which transfers it to external memory. write-back operation when a cache write hit occurs, the cache line or half line is marked as dirty, meaning that its contents are not up-to-date with those in the external memory. when a cache write miss occurs, a line, chosen by round robin or another algorithm, is stored in the write buffer which transfers it to external memory. 12.7 tightly-coupled memory interface 12.7.1 tcm description the arm926ej-s processor features a tightly-coupled memory (tcm) interface, which enables separate instruction and data tcms (itcm and dtcm) to be directly reached by the processor. tcms are used to store real-time and performance critical code, they also provide a dma support mechanism. unlike ahb accesses to external memories, ac cesses to tcms are fast and deterministic and do not incur bus penalties. the user has the possibility to independently conf igure each tcm size with values within the fol- lowing ranges, [0kb, 32 kb] for itcm size and [0kb, 32 kb] for dtcm size. tcms can be configured by two means: hmatrix tcm register and tcm region register (regis- ter 9) in cp15 and both steps should be performed. hmatrix tcm register sets tcm size whereas tcm region register (register 9) in cp15 maps tcms and enables them. the data side of the arm9ej-s core is able to access the itcm. this is necessary to enable code to be loaded into the itcm, for swi and emulated instruction handlers, and for accesses to pc-relative literal pools.
65 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.7.2 enabling and disabling tcms prior to any enabling step, the user should configure the tcm sizes in hmatrix tcm register. then enabling tcms is performed by using tcm r egion register (register 9) in cp15. the user should use the same sizes as those put in hm atrix tcm register. for further details and pro- gramming tips, please refer to chapter 2.3 in arm926ej-s trm, ref. ddi0222b. 12.7.3 tcm mapping the tcms can be located anywhere in the memory map, with a single region available for itcm and a separate region available for dtcm. t he tcms are physically addressed and can be placed anywhere in physical address space. however, the base address of a tcm must be aligned to its size, and the dtcm and itcm regions must not overlap. tcm mapping is per- formed by using tcm region register (register 9) in cp15. the user should input the right mapping address for tcms. 12.8 bus interface unit the arm926ej-s features a bus interface unit (biu) that arbitrates and schedules ahb requests. the biu implements a multi-layer ahb, based on the ahb-lite protocol, that enables parallel access paths between multiple ahb masters and slaves in a system. this is achieved by using a more complex interconnection matrix and gives the benefit of increased overall bus bandwidth, and a more flexible system architecture. the multi-master bus architecture has a number of benefits: ? it allows the development of multi-master systems with an increased bus bandwidth and a flexible architecture. ? each ahb layer becomes simple because it only has one master, so no arbitration or master- to-slave muxing is required. ahb layers, implementing ahb-lite protocol, do not have to support request and grant, nor do they have to support retry and split transactions. ? the arbitration becomes effective when more than one master wants to access the same slave simultaneously. 12.8.1 supported transfers the arm926ej-s processor performs all ahb accesses as single word, bursts of four words, or bursts of eight words. any arm9ej-s core request that is not 1, 4, 8 word s in size is split into packets of these sizes. note that the atmel bus is ahb-lite protocol compliant, hence it does not support split and retry requests.
66 6289d?atarm?3-oct-11 at91sam9r64/rl64 table 8 gives an overview of the supported transfers and different kinds of transactions they are used for. 12.8.2 thumb instruction fetches all instructions fetches, regardless of the state of arm9ej-s core, are made as 32-bit accesses on the ahb. if the arm9ej-s is in thumb state, then two instructions can be fetched at a time. 12.8.3 address alignment the arm926ej-s biu performs address alignment checking and aligns ahb addresses to the necessary boundary. 16-bit accesses are aligned to halfword boundaries, and 32-bit accesses are aligned to word boundaries. table 12-7. supported transfers hburst[2:0] description single single transfer single transfer of word, half word, or byte: ? data write (ncnb, ncb, wt, or wb that has missed in dcache) ? data read (ncnb or ncb) ? nc instruction fetch (prefetched and non-prefetched) ? page table walk read incr4 four-word incrementing burst half-line cache write-back, instruction pr efetch, if enabled. four-word burst ncnb, ncb, wt, or wb write. incr8 eight-word incrementing burst full-line cache writ e-back, eight-word burst ncnb, ncb, wt, or wb write. wrap8 eight-word wrapping burst cache linefill
67 6289d?atarm?3-oct-11 at91sam9r64/rl64 13. at91sam9r64/rl64 debug and test 13.1 description the at91sam9r64/rl64 features a number of complementary debug and test capabilities. a common jtag/ice (in-circuit emulator) port is used for standard debugging functions, such as downloading code and single-stepping through programs. the debug unit provides a two-pin uart that can be used to upload an application into internal sram. it manages the interrupt handling of the internal commtx and commrx signals that trace the activity of the debug communication channel. a set of dedicated deb ug and test input/ou tput pins gives direct acce ss to these capabilities from a pc-based test environment.
68 6289d?atarm?3-oct-11 at91sam9r64/rl64 13.2 block diagram figure 13-1. debug and test block diagram ice-rt arm9ej-s pdc dbgu pio drxd dtxd tms tck tdi jtagsel tdo tst reset and test tap: test access port boundary port ice/jtag ta p arm926ej-s por rtck ntrst
69 6289d?atarm?3-oct-11 at91sam9r64/rl64 13.3 application examples 13.3.1 debug environment figure 13-2 on page 69 shows a complete debug environment example. the ice/jtag inter- face is used for standard debugging functions, such as downloading code and single-stepping through the program. the trace port interface is used for tracing information. a software debug- ger running on a personal computer provides th e user interface for configuring a trace port interface utilizing the ice/jtag interface. figure 13-2. application debug and trace environment example 13.3.2 test environment figure 13-3 on page 69 shows a test environment example. test vectors are sent and inter- preted by the tester. in this example, the ?board in test? is designed using a number of jtag- compliant devices. these devi ces can be connected to form a single scan chain. figure 13-3. application test environment example at91sam9rlbased application ice/jtag interface host debugger ice/jtag connector terminal rs232 connector at91sam9rl tester jtag interface ice/jtag connector at91sam9rl-based application board in test at91sam9rl test adaptor chip 2 chip n chip 1
70 6289d?atarm?3-oct-11 at91sam9r64/rl64 13.4 debug and test pin description 13.5 functional description 13.5.1 test pin one dedicated pin, tst, is used to define the device operating mode. the user must make sure that this pin is tied at low level to ensure normal operating conditions. other values associated with this pin are reserved for manufacturing test. 13.5.2 embedded in-circuit emulator the arm9ej-s embedded in-circuit emulator-rt is supported via the ice/jtag port. it is con- nected to a host computer via an ice interface. debug support is implemented using an arm9ej-s core embedded within the arm926ej-s. the internal state of the arm926ej-s is examined through an ice/jtag port which allows instructions to be serially inserted into the pipeline of the core without using the external data bus. therefore, when in debug state, a store- multiple (stm) can be inse rted into the instruction pipeline. this exports the contents of the arm9ej-s registers. this data can be serially shifted out without affecting the rest of the system. there are two scan chains inside the arm9ej -s processor which support testing, debugging, and programming of the embedded ice-rt ? . the scan chains are controlled by the ice/jtag port. embedded ice mode is selected when jtagsel is low. it is not possible to switch directly between ice and jtag operations. a chip reset must be performed after jtagsel is changed. for further details on the embedded in-circuit-emulator-rt, see the arm document: arm9ej-s technical reference manual (ddi 0222a). table 13-1. debug and test pin list pin name function type active level reset/test ntrst test reset signal input low nrst microcontroller reset input/output low tst test mode select input high ice and jtag tck test clock input tdi test data in input tdo test data out output tms test mode select input rtck returned test clock output jtagsel jtag selection input debug unit drxd debug receive data input dtxd debug transmit data output
71 6289d?atarm?3-oct-11 at91sam9r64/rl64 13.5.3 jtag signal description tms is the test mode select input which controls the transitions of the test interface state machine. tdi is the test data input line which supplies the data to the jtag registers (boundary scan register, instruction register, or other data registers). tdo is the test data output line which is used to serially output the data from the jtag regis- ters to the equipment controlling the test. it carries the sampled values from the boundary scan chain (or other jtag registers) and propagates them to the next chip in the serial test circuit. ntrst (optional in ieee standard 1149.1) is a test-reset input which is mandatory in arm cores and used to reset the debug logic. on atmel arm926ej-s-based cores, ntrst is a power on reset output. it is asserted on power on. if necessary, the user can also reset the debug logic with the ntrst pin assertion during 2.5 mck periods. tck is the test clock input which enables the te st interface. tck is pulsed by the equipment controlling the test and not by the tested device. it can be pulsed at any frequency. note the maximum jtag clock rate on arm926ej-s cores is 1/6th the clock of the cpu. this gives 5.45 khz maximum initial jtag clock rate for an ar m9e running from the 32.768 khz slow clock. rtck is the return test clock. not an ieee standard 1149.1 signal added for a better clock handling by emulators. from some ice interface probes, this return signal can be used to syn- chronize the tck clock and take not care about the given ratio between the ice interface clock and system clock equal to 1/6th. this signal is only available in jtag ice mode and not in boundary scan mode. 13.5.4 debug unit the debug unit provides a two-pin (dxrd a nd txrd) usart that can be used for several debug and trace purposes and offers an ideal means for in-situ programming solutions and debug monitor communication. moreover, the association with two peripheral data controller channels permits packet handling of these tasks with processor time reduced to a minimum. the debug unit also manages the interrupt handling of the commtx and commrx signals that come from the ice and that trace the activity of the debug communication channel.the debug unit allows blockage of access to the system through the ice interface. a specific register, the debug unit chip id register, gives information about the product version and its internal configuration. the at91sam9r64/rl64 debug unit chip id value is 0x0196 07a0 on 32-bit width. for further details on the debug unit, see the debug unit section. 13.5.5 ieee 1149.1 jtag boundary scan ieee 1149.1 jtag boundary scan allows pin-level access independent of the device packaging technology. ieee 1149.1 jtag boundary scan is enabled when jtagsel is high. the sample, extest and bypass functions are implemented. in ic e debug mode, the ar m processor responds with a non-jtag ch ip id that identifi es the processo r to the ice system. this is not ieee 1149.1 jtag-compliant. it is not possible to switch directly between jtag and ice operations. a chip reset must be per- formed after jtagsel is changed.
72 6289d?atarm?3-oct-11 at91sam9r64/rl64 a boundary-scan descriptor language (bsdl) file is provided to set up test. 13.5.6 id code register access: read-only ? manufacturer identity[11:1] set to 0x01f. bit[0] required by ieee std. 1149.1. set to 0x1. jtag id code value is 0x05b2_003f. ? part number[27:12]: product part number product part number is 0x5b20. ? version[31:28]: product version number set to 0x0. 31 30 29 28 27 26 25 24 version part number 23 22 21 20 19 18 17 16 part number 15 14 13 12 11 10 9 8 part number manufacturer identity 76543210 manufacturer identity 1
73 6289d?atarm?3-oct-11 at91sam9r64/rl64 14. at91sam9r64/rl 64 boot program 14.1 description the boot program integrates different programs that manage download and/or upload into the different memories of the product. first, it initializes the debug unit serial port (dbgu) and the usb high speed device port. then the sd card boot program is executed. it looks for a boot.bin file in the root directory of a fat12/16/32 formatted sd card. if such a file is found, code is downloaded into the internal sram. this is followed by a remap and a jump to the first address of the sram. if the sd card is not formatted or if boot.bin file is not found, nand flash boot program is then executed. the nand flash boot program searches for a valid application in the nand flash memory. if a valid application is found, this ap plication is loaded into internal sram and exe- cuted by branching at address 0x0000_0000 after remap. see ?dataflash boot? on page 75 for more information on valid image detection. if no valid arm vector sequence is found, the data flash boot program is then executed. it looks for a sequence of seven valid arm exception vectors in a dataflash connected to the spi. all these vectors must be b-branch or ldr load register instructions except for the sixth vector. this vector is used to store the size of the image to download. if a valid sequence is found, code is downloaded into the internal sram . this is followed by a remap and a jump to the first address of the sram. if no valid arm vector sequence is found, sam-ba boot is then executed. it waits for transac- tions either on the usb device, or on the dbgu serial port. 14.2 flow diagram the boot program implements the algorithm in figure 14-1 .
74 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 14-1. boot program algorithm flow diagram 14.3 device initialization initialization follows the steps described below: 1. stack setup for arm supervisor mode 2. main oscillator frequency detection 3. c variable initialization 4. utmi pll is enabled to generate a 480mhz clock necessary to use the usb high speed device. 5. pll setup: pll is initialized to generate a 96 mhz clock. note: a 12 mhz crystal is mandatory in order to generate these clocks correctly. 6. mck is configured to generate a 48mhz clock (pll/2). 7. initialization of the dbgu serial port (115200 bauds, 8, n, 1) 8. enable the user reset timeout < 1 s spi dataflash boot download from dataflash (npcs0) run yes dataflash boot sam-ba boot no timeout < 1 s nandflash boot download from nandflash run yes nandflash boot no device setup character(s) received on dbgu ? run sam-ba boot run sam-ba boot usb enumeration successful ? yes yes no no timeout < 1 s sd card boot download from sd card (mci) run yes sd card boot no
75 6289d?atarm?3-oct-11 at91sam9r64/rl64 9. jump to sd card boot sequence. if sd card boot succeeds, perform a remap and jump to 0x0. 10. jump to nand flash boot sequence. if nand flash boot succeeds, perform a remap and jump to 0x0. 11. jump to dataflash boot sequence through npcs0. if dataflash boot succeeds, per- form a remap and jump to 0x0. 12. activation of the instruction cache 13. jump to sam-ba boot sequence 14. disable the watchdog 15. initialization of the usb device port figure 14-2. remap action after download completion 14.4 dataflash boot the dataflash boot program searches for a valid application in the spi dataflash memory. if a valid application is found, this application is loaded into internal sram and executed by branch- ing at address 0x0000_0000 after remap. this application may be the application code or a second-level bootloader. all the calls to functions are pc relative and do not use absolute addresses. after reset, the code in internal rom is mapped at both addresses 0x0000_0000 and 0x0040_0000: 400000 ea000006 b 0x20 00ea000006b0x20 400004 eafffffe b 0x04 04eafffffeb0x04 400008 ea00002f b _main 08ea00002fb_main 40000c eafffffe b 0x0c 0ceafffffeb0x0c 400010 eafffffe b 0x10 10eafffffeb0x10 400014 eafffffe b 0x14 14eafffffeb0x14 400018 eafffffe b 0x18 18eafffffeb0x18 14.4.1 valid image detection the dataflash boot software looks for a valid application by analyzing the first 28 bytes corre- sponding to the arm exception vectors. these bytes must implement arm instructions for either branch or load pc with pc relative addressing. the sixth vector, at offset 0x14, contains the size of the image to download. the user must replace this vector with his own vector (see ?structure of arm vector 6? on page 76 ). remap internal rom internal sram internal sram internal rom 0x0030_0000 0x0000_0000 0x0010_0000 0x0000_0000
76 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 14-3. ldr opcode figure 14-4. b opcode unconditional instruction: 0xe for bits 31 to 28 load pc with pc relative addressing instruction: ? rn = rd = pc = 0xf ?i==1 ?p==1 ? u offset added (u==1) or subtracted (u==0) ?w==1 14.4.2 structure of arm vector 6 the arm exception vector 6 is used to store information needed by the dataflash boot pro- gram. this information is described below. figure 14-5. structure of the arm vector 6 14.4.2.1 example an example of valid vectors follows: 00 ea000006 b 0x20 04 eafffffe b 0x04 08 ea00002f b _main 0c eafffffe b 0x0c 10 eafffffe b 0x10 14 00001234 <- code size = 4660 bytes 18 eafffffe b 0x18 the size of the image to load into sram is contained in the location of the sixth arm vector. thus the user must replace this vector by the correct vector for his application. 14.4.3 dataflash boot sequence the dataflash boot program performs device initialization followed by the download procedure. 31 28 27 24 23 20 19 16 15 12 11 0 111011 ipu1w0 rn rd 31 28 27 24 23 0 11101010 offset (24 bits) 31 0 size of the code to download in bytes
77 6289d?atarm?3-oct-11 at91sam9r64/rl64 the dataflash boot program supports all atmel dataflash devices. table 14-1 summarizes the parameters to include in the arm vector 6 for all devices. the dataflash has a status register that determines all the parameters required to access the device. the dataflash boot is configured to be compatible with the future design of the dataflash. table 14-1. dataflash device device density page size (bytes) number of pages at45db011 1 mbit 264 512 at45db021 2 mbits 264 1024 at45db041 4 mbits 264 2048 at45db081 8 mbits 264 4096 at45db161 16 mbits 528 4096 at45db321 32 mbits 528 8192 at45db642 64 mbits 1056 8192
78 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 14-6. serial dataflash download 14.5 sd card boot boot rom does not support high-capacity sdcards. the sd card boot program searches for a valid application in the sd card memory. it looks for a boot.bin file in the root directory of a fat12/16/32 formatted sd card. if a valid file is found, this application is loaded into inte rnal sram and executed by branching at address 0x0000_0000 after remap. this application may be the application code or a second-level bootloader. 14.6 nand flash boot the nand flash boot program searches for a valid application in the nand flash memory. if a valid application is found, this application is loaded into internal sram and executed by branch- end read the first 7 instructions (28 bytes). decode the sixth arm vector yes read the dataflash into the internal sram. (code size to read in vector 6) restore the reset value for the peripherals. set the pc to 0 and perform the remap to jump to the downloaded application send status command 7 vectors (except vector 6) are ldr or branch instruction yes start is status ok ? jump to next boot solution no no
79 6289d?atarm?3-oct-11 at91sam9r64/rl64 ing at address 0x0000_0000 after remap. see ?dataflash boot? on page 75 for more information on valid image detection. 14.6.1 supported nand flash devices any 8 or 16-bit nand flash devices. 14.7 sam-ba boot if no valid dataflash device has been found during the dataflash boot sequence, the sam-ba boot program is performed. the sam-ba boot principle is to: ? check if usb high speed device enumeration has occurred. ? check if characters have been received on the dbgu. ? once the communication interface is identified, the application runs in an infinite loop waiting for different commands as in table 14-2 . ? write commands: write a byte ( o ), a halfword ( h ) or a word ( w ) to the target. ? address : address in hexadecimal. ? value : byte, halfword or word to write in hexadecimal. ? output : ?>?. ? read commands: read a byte ( o ), a halfword ( h ) or a word ( w ) from the target. ? address : address in hexadecimal ? output : the byte, halfword or word read in hexadecimal following by ?>? ? send a file ( s ): send a file to a specified address ? address : address in hexadecimal ? output : ?>?. note: there is a time-out on this command which is reached when the prompt ?>? appears before the end of the command execution. ? receive a file ( r ): receive data into a file from a specified address ? address : address in hexadecimal table 14-2. commands available through the sam-ba boot command action argument(s) example o write a byte address, value# o 200001,ca# o read a byte address,# o 200001,# h write a half word address, value# h 200002,cafe# h read a half word address,# h 200002,# w write a word address, value# w 200000,cafedeca# w read a word address,# w 200000,# s send a file address,# s 200000,# r receive a file address, nbofbytes# r 200000,1234# g go address# g 200200# v display version no argument v #
80 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? nbofbytes : number of bytes in hexadecimal to receive ? output : ?>? ?go ( g ): jump to a specified address and execute the code ? address : address to jump in hexadecimal ? output : ?>? ? get version ( v ): return the sam-ba boot version ? output : ?>? 14.7.1 dbgu serial port communication is performed through the dbgu serial port initialized to 115200 baud, 8, n, 1. the send and receive file commands use the xmodem protocol to communicate. any terminal performing this protocol can be used to send th e application file to the target. the size of the binary file to send depends on the sram size embedded in the product. in all cases, the size of the binary file must be lower than the sram si ze because the xmodem protocol requires some sram memory to work. 14.7.2 xmodem protocol the xmodem protocol supported is the 128-byte l ength block. this protocol uses a two-charac- ter crc-16 to guarantee detection of a maximum bit error. xmodem protocol with crc is accurate provided both sender and receiver report successful transmission. each block of the transfer looks like: <255-blk #><--128 da ta bytes--> in which: ? = 01 hex ? = binary number, starts at 01, increments by 1, and wraps 0ffh to 00h (not to 01) ? <255-blk #> = 1?s complement of the blk#. ? = 2 bytes crc16 figure 14-7 shows a transmission using this protocol.
81 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 14-7. xmodem transfer example 14.7.3 usb high speed device port a 480 mhz usb clock is necessary to use th e usb high speed device port. it has been pro- grammed earlier in the devi ce initialization pr ocedure with utmi pll configuration. the vendor id is atmel?s vendor id 0x03eb. the product id is 0x6124. these references are used by the host operating system to mount the correct driver. on windows systems, the inf files contain the correspondence between vendor id and product id. atmel provides an inf example to see the device as a new serial port and also provides another custom driver used by the sam-ba application: atm6124.sys. refer to the document ?usb basic application?, literature number 6123, for more details. 14.7.3.1 enumeration process the usb protocol is a master/slave protocol. th is is the host that starts the enumeration send- ing requests to the device through the control endpoint. the device handles standard requests as defined in the usb specification. host device soh 01 fe data[128] crc crc c ack soh 02 fd data[128] crc crc ack soh 03 fc data[100] crc crc ack eot ack table 14-3. handled standard requests request definition get_descriptor returns the current device configuration value. set_address sets the device address for all future device access. set_configuration sets the device configuration. get_configuration returns the curr ent device configuration value. get_status returns status for the specified recipient. set_feature used to set or enable a specific feature. clear_feature used to clear or disable a specific feature.
82 6289d?atarm?3-oct-11 at91sam9r64/rl64 the device also handles some class requests defined in the cdc class. unhandled requests are stalled. 14.7.3.2 communication endpoints there are two communication endpoints and endpoint 0 is used for the enumeration process. endpoint 1 is a 512-byte bulk out endpoint and endpoint 2 is a 512-byte bulk in endpoint. sam-ba boot commands are sent by the host through the endpoint 1. if required, the message is split by the host into several data payloads by the host driver. if the command requires a response, the host can send in transactions to pick up the response. 14.8 hardware and software constraints ? a 12 mhz crystal is mandatory in order to generate correctly 480 mhz clock necessary for the usb high speed device and to generate the 48 mhz system clock. ? no bypass mode. ? the sd card, nand flash and dataflash downloaded code size must be inferior to 56 k bytes. ? the code is always downloaded from th e dataflash or nand flash device address 0x0000_0000 to the address 0x0000_0000 of the internal sram (after remap). ? the downloaded code must be position-independent or linked at address 0x0000_0000. ? the dataflash must be connected to npcs0 of the spi. the mci, the spi and nand flash drivers use seve ral pios in alternate functions to communi- cate with devices. care must be taken when these pios are used by the application. the devices connected could be unint entionally driven at boot time, a nd electrical conflicts between peripherals output pins and the connected devices may appear. to assure correct functionality, it is recommended to plug in critical devices to other pins. table 14-5 contains a list of pins that are driven during the boot program execution. these pins are driven during the boot sequence for a period of less than 1 second if no correct boot program is found. for the dataflash driven by the spck signal at 8 mhz, the time to download 60 k bytes is reduced to 200 ms. table 14-4. handled class requests request definition set_line_coding configures dte rate, stop bits, parity and number of character bits. get_line_coding requests current dte rate, stop bits, parity and number of character bits. set_control_line_state rs-232 signal used to tell the dce device the dte device is now present.
83 6289d?atarm?3-oct-11 at91sam9r64/rl64 before performing the jump to the application in internal sram, all the pios and peripherals used in the boot program are set to their reset state. table 14-5. pins driven during boot program execution peripheral pin pio line mci mcda0 pioa0 mci mccda pioa1 mci mcck pioa2 mci mcda1 pioa3 mci mcda2 pioa4 mci mcda3 pioa5 spi miso pioa25 spi mosi pioa26 spi spck pioa27 spi npcs0 pioa28 pio controller b nand oe piob4 pio controller b nand we piob5 pio controller b nandcs piob6 address bus nand ale a21 address bus nand cle a22 dbgu drxd pioa21 dbgu dtxd pioa22
84 6289d?atarm?3-oct-11 at91sam9r64/rl64
85 6289d?atarm?3-oct-11 at91sam9r64/rl64 15. reset controller (rstc) 15.1 description the reset controller (rstc), based on power-on reset cells, handles all the resets of the sys- tem without any external components. it reports which reset occurred last. the reset controller also drives independently or simultaneously the external reset and the peripheral and processor resets. 15.2 block diagram figure 15-1. reset controller block diagram nrst startup counter proc_nreset wd_fault periph_nreset backup_neset slck reset state manager reset controller rstc_irq nrst manager exter_nreset nrst_out main supply por wdrproc user_reset backup supply por
86 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.3 functional description 15.3.1 reset controller overview the reset controller is made up of an nrst manager, a startup counter and a reset state manager. it runs at slow clock and generates the following reset signals: ? proc_nreset: processor reset line. it also resets the watchdog timer. ? backup_nreset: affects all the peripherals powered by vddbu. ? periph_nreset: affects the whole set of embedded peripherals. ? nrst_out: drives the nrst pin. these reset signals are asserted by the reset cont roller, either on external events or on soft- ware action. the reset state manager controls the generation of reset signals and provides a signal to the nrst manager when an assertion of the nrst pin is required. the nrst manager shapes the nrst assertion du ring a programmable ti me, thus controlling external device resets. the startup counter waits for the complete crystal oscillator startu p. the wait de lay is given by the crystal oscillator startup time maximum value that can be foun d in the section crystal oscil- lator characteristics in the electrical characteristics section of the product documentation. the reset controller mode register (rstc_mr), allowing the configuration of the reset con- troller, is powered with vddbu, so that its configuration is saved as long as vddbu is on. 15.3.2 nrst manager the nrst manager samples the nrst input pin and drives this pin low when required by the reset state manager. figure 15-2 shows the block diagram of the nrst manager. figure 15-2. nrst manager 15.3.2.1 nrst signal or interrupt the nrst manager samples the nrst pin at slow clock speed. when the line is detected low, a user reset is reported to the reset state manager. however, the nrst manager can be programmed to not trigger a reset when an assertion of nrst occurs. writing the bit ursten at 0 in rstc_mr disables the user reset trigger. external reset timer ursts ursten erstl exter_nreset urstien rstc_mr rstc_mr rstc_mr rstc_sr nrstl nrst_out nrst rstc_irq other interrupt sources user_reset
87 6289d?atarm?3-oct-11 at91sam9r64/rl64 the level of the pin nrst can be read at any ti me in the bit nrstl (nrst level) in rstc_sr. as soon as the pin nrst is asserted, the bit ur sts in rstc_sr is set. this bit clears only when rstc_sr is read. the reset controller can also be programmed to generate an interrupt instead of generating a reset. to do so, the bit urstien in rstc_mr must be written at 1. 15.3.2.2 nrst external reset control the reset state manager asserts the signal ext_nreset to assert the nrst pin. when this occurs, the ?nrst_out? signal is driven low by the nrst manager for a time programmed by the field erstl in rstc_mr. this assertion duration, named externa l_reset_length, lasts 2 (erstl+1) slow clock cycles. this gives the approximate duration of an assertion between 60 s and 2 seconds. note that erstl at 0 defines a two-cycle duration for the nrst pulse. this feature allows the reset controller to shape the nrst pin level, and thus to guarantee that the nrst line is driven low for a time compliant with potential external devices connected on the system reset. as the field is within rstc_mr, which is backed -up, this field can be used to shape the system power-up reset for devi ces requiring a longer startup time than the slow clock oscillator. 15.3.3 bms sampling the product matrix manages a boot memory that depends on the level on the bms pin at reset. the bms signal is sampled three slow clock cycl es after the core power-on-reset output rising edge. figure 15-3. bms sampling slck core supply por output bms sampling delay = 3 cycles bms signal proc_nreset xxx h or l
88 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.3.4 reset states the reset state manager handles the different reset sources and generates the internal reset signals. it reports the reset status in the field rsttyp of the status register (rstc_sr). the update of the field rsttyp is performed when the processor reset is released. 15.3.4.1 general reset a general reset occurs when vddbu and vddcore are powered on. the backup supply por cell output rises and is filtered with a startup counter, which operates at slow clock. the pur- pose of this counter is to make sure the slow clock oscillator is stable before starting up the device. the length of startup ti me is hardcoded to comply with the slow clock oscillator startup time. after this time, the processor clock is released at slow clock and all the other signals remain valid for 3 cycles for proper processor and logic reset. then, all the reset signals are released and the field rsttyp in rstc_sr reports a general reset. as the rstc_mr is reset, the nrst line rises 2 cycles after the backup_nreset, as erstl defaults at value 0x0. when vddbu is detected low by the backup suppl y por cell, all resets signals are immedi- ately asserted, even if the main supply por cell does not report a main supply shutdown. vddbu only activates the backup_nreset signal. the backup_nreset must be released so that any other reset can be generated by vddcore (main supply por output). figure 15-4 shows how the general reset affects the reset signals. figure 15-4. general reset state slck periph_nreset proc_nreset backup supply por output nrst (nrst_out) startup time mck processor startup = 3 cycles backup_nreset any freq. rsttyp xxx 0x0 = general reset xxx main supply por output bms sampling external reset length = 2 cycles
89 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.3.4.2 wake-up reset the wake-up reset occurs when the main supply is down. when the main supply por output is active, all the reset signals are asserted except backup_nreset. when the main supply pow- ers up, the por output is resynchronized on slow clock. the processor clock is then re-enabled during 3 slow clock cycles, depending on the requirements of the arm processor. at the end of this delay, the processor and other reset signals rise. the field rsttyp in rstc_sr is updated to report a wake-up reset. the ?nrst_out? remains asserted for ext ernal_reset_length cycles. as rstc_mr is backed-up, the programmed number of cycles is applicable. when the main supply is detected falling, the re set signals are immediately asserted. this tran- sition is synchronous with the output of the main supply por. figure 15-5. wake-up state slck periph_nreset proc_nreset main supply por output nrst (nrst_out) external reset length = 4 cycles (erstl = 1) mck processor startup = 3 cycles backup_nreset any freq. resynch. 2 cycles rsttyp xxx 0x1 = wakeup reset xxx
90 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.3.4.3 user reset the user reset is entered when a low level is detected on the nrst pin and the bit ursten in rstc_mr is at 1. the nrst inpu t signal is resynchronized with slck to insure proper behav- ior of the system. the user reset is entered as soon as a low level is detected on nrst. the processor reset and the peripheral reset are asserted. the user reset is left when nrst rises, after a two-cycle resynchronization time and a 3-cycle processor startup. the processor clock is re-enabled as soon as nrst is confirmed high. when the processor reset signal is released, the rsttyp field of the status register (rstc_sr) is loaded with the value 0x4, indicating a user reset. the nrst manager guarantees that the nrst line is asserted for external_reset_length slow clock cycles, as programmed in the field erstl. how- ever, if nrst does not rise after extern al_reset_length because it is driven low externally, the internal reset lines remain asserted until nrst actually rises. figure 15-6. user reset state slck periph_nreset proc_nreset nrst nrst (nrst_out) >= external reset length mck processor startup = 3 cycles any freq. resynch. 2 cycles rsttyp any xxx resynch. 2 cycles 0x4 = user reset
91 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.3.4.4 software reset the reset controller offers several commands used to assert the different reset signals. these commands are performed by writing the control register (rstc_cr) with the following bits at 1: ? procrst: writing procrst at 1 resets the processor and the watchdog timer. ? perrst: writing perrst at 1 resets all the embedded peripherals, including the memory system, and, in particular, the remap command. the peripheral reset is generally used for debug purposes. ? extrst: writing extrst at 1 asserts low the nrst pin during a time defined by the field erstl in the mode register (rstc_mr). the software reset is entered if at least one of these bits is set by the software. all these com- mands can be performed independently or simultaneously. the software reset lasts 3 slow clock cycles. the internal reset signals are asserted as soon as the register write is performed. this is detected on the master clock (mck). they are released when the software reset is left, i.e.; syn- chronously to slck. if extrst is set, the nrst_out signal is asserted depending on the programming of the field erstl. however, the result ing falling edge on nrst does not lead to a user reset. if and only if the procrst bit is set, the reset controller reports the software status in the field rsttyp of the status register (rstc_sr). other software resets are not reported in rsttyp. as soon as a software operation is detected, the bit srcmp (software reset command in prog- ress) is set in the status register (rstc_sr). it is cleared as soon as the software reset is left. no other software reset can be performed while the srcmp bit is set, and writing any value in rstc_cr has no effect.
92 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 15-7. software reset 15.3.4.5 watchdog reset the watchdog reset is entered when a watchdog fault occurs. this state lasts 3 slow clock cycles. when in watchdog reset, assertion of t he reset signals depends on the wdrproc bit in wdt_mr: ? if wdrproc is 0, the processor reset and the peripheral reset are asserted. the nrst line is also asserted, depending on the programming of the field erstl. however, the resulting low level on nrst does not result in a user reset state. ? if wdrproc = 1, only the processor reset is asserted. the watchdog timer is reset by the proc_nreset si gnal. as the watchdog fault always causes a processor reset if wdrsten is set, the watc hdog timer is always reset after a watchdog reset, and the watchdog is enabled by default and with a period set to a maximum. when the wdrsten in wdt_mr bit is reset, the watchdog fault has no impact on the reset controller. slck periph_nreset if perrst=1 proc_nreset if procrst=1 write rstc_cr nrst (nrst_out) if extrst=1 external reset length 8 cycles (erstl=2) mck processor startup = 3 cycles any freq. rsttyp any xxx 0x3 = software reset resynch. 1 cycle srcmp in rstc_sr
93 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 15-8. watchdog reset 15.3.5 reset state priorities the reset state manager manages the following priorities between the different reset sources, given in descending order: ? backup reset ? wake-up reset ? watchdog reset ? software reset ? user reset particular cases are listed below: ? when in user reset: ? a watchdog event is impossible because the watchdog timer is being reset by the proc_nreset signal. ? a software reset is impossible, since the processor reset is being activated. ? when in software reset: ? a watchdog event has priority over the current state. ? the nrst has no effect. ? when in watchdog reset: ? the processor reset is active and so a software reset cannot be programmed. ? a user reset cannot be entered. 15.3.6 reset controller status register the reset controller status register (rstc_sr) provides several status fields: ? rsttyp field: this field gives the type of the last reset, as explained in previous sections. only if wdrproc = 0 slck periph_nreset proc_nreset wd_fault nrst (nrst_out) external reset length 8 cycles (erstl=2) mck processor startup = 3 cycles any freq. rsttyp any xxx 0x2 = watchdog reset
94 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? srcmp bit: this field indicates that a software reset command is in progress and that no further software reset should be performed until the end of the current one. this bit is automatically cleared at the end of the current software reset. ? nrstl bit: the nrstl bit of the status register gives the level of the nrst pin sampled on each mck rising edge. ? ursts bit: a high-to-low transition of the nrst pin sets the ursts bit of the rstc_sr register. this transition is also detected on the master clock (mck) rising edge (see figure 15-9 ). if the user reset is disabled (ursten = 0) and if the interruption is enabled by the urstien bit in the rstc_mr register, the ursts bit triggers an interrupt. reading the rstc_sr status register resets the ursts bit and clears the interrupt. figure 15-9. reset controller status and interrupt mck nrst nrstl 2 cycle resynchronization 2 cycle resynchronization ursts read rstc_sr peripheral access rstc_irq if (ursten = 0) and (urstien = 1)
95 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.4 reset controller (rstc) user interface note: 1. the reset value of rstc_sr either reports a general reset or a wake-up reset depending on last rising power supply. table 15-1. reset controller (rstc) register mapping offset register name access reset value back-up reset value 0x00 control register rstc_cr write-only - 0x04 status register rstc_sr read-only 0x0000_0001 0x0000_0000 0x08 mode register rstc_mr read/write - 0x0000_0000
96 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.4.1 reset controller control register register name: rstc_cr access type: write-only ? procrst: processor reset 0 = no effect. 1 = if key is correct, resets the processor. ? perrst: peripheral reset 0 = no effect. 1 = if key is correct, resets the peripherals. ? extrst: external reset 0 = no effect. 1 = if key is correct, asserts the nrst pin. ?key: password should be written at value 0xa5. writing any other value in this field aborts the write operation. 31 30 29 28 27 26 25 24 key 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????? ? 76543210 ????extrstperrst?procrst
97 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.4.2 reset controller status register register name: rstc_sr access type: read-only ? ursts: user reset status 0 = no high-to-low edge on nrst happened since the last read of rstc_sr. 1 = at least one high-to-low transition of nrst has been detected since the last read of rstc_sr. ? rsttyp: reset type reports the cause of the last processor reset. r eading this rstc_sr does not reset this field. ? nrstl: nrst pin level registers the nrst pin level at master clock (mck). ? srcmp: software reset command in progress 0 = no software command is being performed by the reset controller. the reset controller is ready for a software command. 1 = a software reset command is being performed by the reset controller. the reset controller is busy. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????srcmpnrstl 15 14 13 12 11 10 9 8 ????? rsttyp 76543210 ???????ursts rsttyp reset type comments 0 0 0 general reset both vddcore and vddbu rising 0 0 1 wake up reset vddcore rising 0 1 0 watchdog reset watchdog fault occurred 0 1 1 software reset processor re set required by the software 1 0 0 user reset nrst pin detected low
98 6289d?atarm?3-oct-11 at91sam9r64/rl64 15.4.3 reset controller mode register register name: rstc_mr access type: read/write ? ursten: user reset enable 0 = the detection of a low level on the pin nrst does not generate a user reset. 1 = the detection of a low level on the pin nrst triggers a user reset. ? urstien: user reset interrupt enable 0 = usrts bit in rstc_sr at 1 has no effect on rstc_irq. 1 = usrts bit in rstc_sr at 1 asserts rstc_irq if ursten = 0. ? erstl: external reset length this field defines the external reset length. the external reset is asserted during a time of 2 (erstl+1) slow clock cycles. this allows assertion duration to be programmed between 60 s and 2 seconds. ?key: password should be written at value 0xa5. writing any other value in this field aborts the write operation. 31 30 29 28 27 26 25 24 key 23 22 21 20 19 18 17 16 ??????? 15 14 13 12 11 10 9 8 ???? erstl 76543210 ? ? urstien ? ? ? ursten
99 6289d?atarm?3-oct-11 at91sam9r64/rl64 16. real-time timer (rtt) 16.1 overview the real-time timer is built around a 32-bit coun ter and used to count elapsed seconds. it gen- erates a periodic interrupt and/or triggers an alarm on a programmed value. 16.2 block diagram figure 16-1. real-time timer 16.3 functional description the real-time timer is used to count elapsed seconds. it is built around a 32-bit counter fed by slow clock divided by a programmable 16-bit va lue. the value can be programmed in the field rtpres of the real-time mode register (rtt_mr). programming rtpres at 0x00008000 corresponds to feeding the real-time counter with a 1 hz signal (if the slow clock is 32.768 hz). the 32-bit counter can count up to 2 32 seconds, corre- sponding to more than 136 years, then roll over to 0. the real-time timer can also be used as a free -running timer with a lower time-base. the best accuracy is achieved by writing rtpres to 3. programming rtpres to 1 or 2 is possible, but may result in losing status events because the st atus register is clear ed two slow clock cycles after read. thus if the rtt is configured to trigger an interrupt, the interrupt occurs during 2 slow clock cycles after reading rtt_sr. to prevent se veral executions of the interrupt handler, the interrupt must be disabled in the interrupt ha ndler and re-enabled when the status register is clear. slck rtpres rttinc alms 16-bit divider 32-bit counter almv = crtv rtt_mr rtt_vr rtt_ar rtt_sr rttincien rtt_mr 0 10 almien rtt_int rtt_mr set set rtt_sr read rtt_sr reset reset rtt_mr reload rtt_alarm rttrst rtt_mr rttrst
100 6289d?atarm?3-oct-11 at91sam9r64/rl64 the real-time timer value (crtv) can be read at any time in the register rtt_vr (real-time value register). as this value can be updated asynchronously from the master clock, it is advis- able to read this register twice at the same value to improve accuracy of the returned value. the current value of the counter is compared with the value written in the alarm register rtt_ar (real-time alarm register). if the counter value matches the alarm, the bit alms in rtt_sr is set. the alarm register is set to its maximum value, corresponding to 0xffff_ffff, after a reset. the bit rttinc in rtt_sr is set each time the real-time timer counter is incremented. this bit can be used to start a periodic interrupt, the period being one second when the rtpres is pro- grammed with 0x8000 and slow clock equal to 32.768 hz. reading the rtt_sr status register resets the rttinc and alms fields. writing the bit rttrst in rtt_mr immediately re loads and restarts the clock divider with the new programmed value. this also resets the 32-bit counter. note: because of the asynchronism between the slow clock (sclk) and the system clock (mck): 1) the restart of the counter and the reset of the rtt_vr current value register is effective only 2 slow clock cycles after the write of th e rttrst bit in the rtt_mr register. 2) the status register fl ags reset is taken into account only 2 sl ow clock cycles after the read of the rtt_sr (status register). figure 16-2. rtt counting prescaler almv almv-1 0 almv+1 0 rtpres - 1 rtt apb cycle read rtt_sr alms (rtt_sr) apb interface mck rttinc (rtt_sr) almv+2 almv+3 ... apb cycle
101 6289d?atarm?3-oct-11 at91sam9r64/rl64 16.4 real-time timer (rtt) user interface 16.4.1 register mapping table 16-1. real-time timer register mapping offset register name access reset value 0x00 mode register rtt_mr read/write 0x0000_8000 0x04 alarm register rtt_ar read/write 0xffff_ffff 0x08 value register rtt_vr read-only 0x0000_0000 0x0c status register rtt_sr read-only 0x0000_0000
102 6289d?atarm?3-oct-11 at91sam9r64/rl64 16.4.2 real-time timer mode register register name: rtt_mr access type: read/write ? rtpres: real-time timer prescaler value defines the number of slck periods required to increment the real-time timer. rtpres is defined as follows: rtpres = 0: the prescaler period is equal to 2 16 rtpres 0: the prescaler period is equal to rtpres. ? almien: alarm interrupt enable 0 = the bit alms in rtt_sr has no effect on interrupt. 1 = the bit alms in rtt_sr asserts interrupt. ? rttincien: real-time timer increment interrupt enable 0 = the bit rttinc in rtt_sr has no effect on interrupt. 1 = the bit rttinc in r tt_sr asserts interrupt. ? rttrst: real-time timer restart 1 = reloads and restarts the clock divider with the new programmed value. this also resets the 32-bit counter. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?????rttrstrttincienalmien 15 14 13 12 11 10 9 8 rtpres 76543210 rtpres
103 6289d?atarm?3-oct-11 at91sam9r64/rl64 16.4.3 real-time timer alarm register register name: rtt_ar access type: read/write ? almv: alarm value defines the alarm value (almv+1) compared with the real-time timer. 16.4.4 real-time timer value register register name: rtt_vr access type: read-only ? crtv: current real-time value returns the current value of the real-time timer. 31 30 29 28 27 26 25 24 almv 23 22 21 20 19 18 17 16 almv 15 14 13 12 11 10 9 8 almv 76543210 almv 31 30 29 28 27 26 25 24 crtv 23 22 21 20 19 18 17 16 crtv 15 14 13 12 11 10 9 8 crtv 76543210 crtv
104 6289d?atarm?3-oct-11 at91sam9r64/rl64 16.4.5 real-time timer status register register name: rtt_sr access type: read-only ? alms: real-time alarm status 0 = the real-time alarm has not occurred since the last read of rtt_sr. 1 = the real-time alarm occurred since the last read of rtt_sr. ? rttinc: real-time timer increment 0 = the real-time timer has not been incremented since the last read of the rtt_sr. 1 = the real-time timer has been incremented since the last read of the rtt_sr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ??????rttincalms
105 6289d?atarm?3-oct-11 at91sam9r64/rl64 17. periodic interval timer (pit) 17.1 overview the periodic interval timer (pit) provides the operating system?s scheduler interrupt. it is designed to offer maximum accuracy and efficient management, even for systems with long response time. 17.2 block diagram figure 17-1. periodic interval timer 20-bit counter mck/16 piv pit_mr cpiv pit_pivr picnt 12-bit adder 0 0 read pit_pivr cpiv picnt pit_piir pits pit_sr set reset pitien pit_mr pit_irq 1 0 1 0 mck prescaler = ?
106 6289d?atarm?3-oct-11 at91sam9r64/rl64 17.3 functional description the periodic interval timer aims at providing pe riodic interrupts for use by operating systems. the pit provides a programmable overflow counter and a reset-on-read feature. it is built around two counters: a 20-bit cpiv counter and a 12-bit picnt counter. both counters work at master clock /16. the first 20-bit cpiv counter increments from 0 up to a programmable overflow value set in the field piv of the mode register (pit_mr). when the counter cpiv reaches this value, it resets to 0 and increments the periodic interval counter, picnt. the status bit pits in the status regis- ter (pit_sr) rises and triggers an interrupt , provided the interrupt is enabled (pitien in pit_mr). writing a new piv value in pit_mr does not reset/restart the counters. when cpiv and picnt values are obtained by reading the periodic interval value register (pit_pivr), the overflow counter (picnt) is rese t and the pits is cleared, thus acknowledging the interrupt. the value of picnt gives the number of periodic intervals elapsed since the last read of pit_pivr. when cpiv and picnt values are obtained by reading the periodic interval image register (pit_piir), there is no effect on the counters cpiv and picnt, nor on the bit pits. for exam- ple, a profiler can read pit_piir without clearing any pending interrupt, whereas a timer interrupt clears the interrupt by reading pit_pivr. the pit may be enabled/disabled using the pite n bit in the pit_mr register (disabled on reset). the piten bit only becomes effective when the cpiv value is 0. figure 17-2 illustrates the pit counting. after the pit enable bit is re set (piten= 0), the cpiv goes on counting until the piv value is reached, and is then reset. pit restarts counting, only if the piten is set again. the pit is stopped when the core enters debug state.
107 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 17-2. enabling/disabling pit with piten mck prescaler piv piv - 1 0 piten 10 0 15 cpiv 1 restarts mck prescaler 0 1 apb cycle read pit_pivr 0 picnt pits (pit_sr) mck apb interface apb cycle
108 6289d?atarm?3-oct-11 at91sam9r64/rl64 17.4 periodic interval time r (pit) user interface table 17-1. periodic interval timer (pit) register mapping offset register name access reset value 0x00 mode register pit_mr read/write 0x000f_ffff 0x04 status register pit_sr read-only 0x0000_0000 0x08 periodic interval value register pit_pivr read-only 0x0000_0000 0x0c periodic interval image register pit_piir read-only 0x0000_0000
109 6289d?atarm?3-oct-11 at91sam9r64/rl64 17.4.1 periodic interval timer mode register register name: pit_mr access type: read/write ? piv: periodic interval value defines the value compared with the primary 20-bit counter of the periodic interval timer (cpiv). the period is equal to (piv + 1). ? piten: period interval timer enabled 0 = the periodic interval timer is disabled when the piv value is reached. 1 = the periodic interval timer is enabled. ? pitien: periodic interval timer interrupt enable 0 = the bit pits in pit_sr has no effect on interrupt. 1 = the bit pits in pit_sr asserts interrupt. 17.4.2 periodic interval timer status register register name: pit_sr access type: read-only ? pits: periodic interval timer status 0 = the periodic interval timer has not reached piv since the last read of pit_pivr. 1 = the periodic interval timer has reached piv since the last read of pit_pivr. 31 30 29 28 27 26 25 24 ??????pitienpiten 23 22 21 20 19 18 17 16 ???? piv 15 14 13 12 11 10 9 8 piv 76543210 piv 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????pits
110 6289d?atarm?3-oct-11 at91sam9r64/rl64 17.4.3 periodic interval timer value register register name: pit_pivr access type: read-only reading this register clears pits in pit_sr. ? cpiv: current periodic interval value returns the current value of the periodic interval timer. ? picnt: periodic interval counter returns the number of occurrences of periodic intervals since the last read of pit_pivr. 17.4.4 periodic interval timer image register register name: pit_piir access type: read-only ? cpiv: current periodic interval value returns the current value of the periodic interval timer. ? picnt: periodic interval counter returns the number of occurrences of periodic intervals since the last read of pit_pivr. 31 30 29 28 27 26 25 24 picnt 23 22 21 20 19 18 17 16 picnt cpiv 15 14 13 12 11 10 9 8 cpiv 76543210 cpiv 31 30 29 28 27 26 25 24 picnt 23 22 21 20 19 18 17 16 picnt cpiv 15 14 13 12 11 10 9 8 cpiv 76543210 cpiv
111 6289d?atarm?3-oct-11 at91sam9r64/rl64 18. watchdog timer (wdt) 18.1 description the watchdog timer can be used to prevent system lock-up if the software becomes trapped in a deadlock. it features a 12-bit down counter that allows a watchdog period of up to 16 seconds (slow clock at 32.768 khz). it can generate a general reset or a processor reset only. in addition, it can be stopped while the processor is in debug mode or idle mode. 18.2 block diagram figure 18-1. watchdog timer block diagram = 0 10 set reset read wdt_sr or reset wdt_fault (to reset controller) set reset wdfien wdt_int wdt_mr slck 1/128 12-bit down counter current value wdd wdt_mr <= wdd wdv wdrstt wdt_mr wdt_cr reload wdunf wderr reload write wdt_mr wdt_mr wdrsten
112 6289d?atarm?3-oct-11 at91sam9r64/rl64 18.3 functional description the watchdog timer can be used to prevent system lock-up if the software becomes trapped in a deadlock. it is supplied with vddcore. it re starts with initial values on processor reset. the watchdog is built around a 12-bit down counter, which is loaded with the value defined in the field wdv of the mode register (wdt_m r). the watchdog timer uses the slow clock divided by 128 to establish the maximum watchdo g period to be 16 seconds (with a typical slow clock of 32.768 khz). after a processor reset, the value of wdv is 0xfff, corresponding to the maximum value of the counter with the external reset generation enabled (field wdrsten at 1 after a backup reset). this means that a default watchdog is running at reset, i.e., at power-up. the user must either disable it (by setting the wddis bit in wd t_mr) if he does not expect to use it or must reprogram it to meet the maximum watchdog period the application requires. the watchdog mode register (wdt_mr) can be written only once. only a processor reset resets it. writing the wdt_mr register reloads the timer with the newly programmed mode parameters. in normal operation, the user reloads the watchdog at regular intervals before the timer under- flow occurs, by writing the control register (wdt_cr) with the bit wdrstt to 1. the watchdog counter is then immediately reloaded from wdt_mr and restarted, and the slow clock 128 divider is reset and restarted. the wdt_cr register is write-protected. as a result, writing wdt_cr without the correct hard-coded key has no effect. if an underflow does occur, the ?wdt_fault? signal to the reset controller is asserted if the bit wdrsten is set in the mode register (wdt_mr). moreover, the bit wdunf is set in the watchdog status register (wdt_sr). to prevent a software deadlock that continuously triggers the watchdog, the reload of the watchdog must occur while the watchdog c ounter is within a window between 0 and wdd, wdd is defined in the watchdog mode register wdt_mr. any attempt to restart the watchdog while the watchdog counter is between wdv and wdd results in a watchdog error, even if the watchdog is disabled. the bit wderr is updated in the wdt_sr and the ?wdt_fault? signal to the reset controller is asserted. note that this feature can be disabled by programming a wdd value greater than or equal to the wdv value. in such a configuration, restarti ng the watchdog timer is permitted in the whole range [0; wdv] and does not generate an error. this is the default configuration on reset (the wdd and wdv values are equal). the status bits wdunf (watchdog underflow ) and wderr (watchdog error) trigger an inter- rupt, provided the bit wdfien is set in the mode register. the signal ?wdt_fault? to the reset controller causes a watchdog reset if the wdrsten bit is set as already explained in the reset controller programmer datasheet. in that case, the processor and the watchdog timer are reset, and the wderr and wdunf flags are reset. if a reset is generated or if wdt_sr is read, the status bits are reset, the interrupt is cleared, and the ?wdt_fault? signal to the reset controller is deasserted. writing the wdt_mr reloads and restarts the down counter. while the processor is in debug state or in idle mode, the counter may be stopped depending on the value programmed for the bits wdidlehlt and wddbghlt in the wdt_mr.
113 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 18-2. watchdog behavior 0 wdv wdd wdt_cr = wdrstt watchdog fault normal behavior watchdog error watchdog underflow fff if wdrsten is 1 if wdrsten is 0 forbidden window permitted window
114 6289d?atarm?3-oct-11 at91sam9r64/rl64 18.4 watchdog timer (wdt) user interface 18.4.1 watchdog timer control register register name: wdt_cr access type: write-only ? wdrstt: watchdog restart 0: no effect. 1: restarts the watchdog. ?key: password should be written at value 0xa5. writing any other value in this field aborts the write operation. table 18-1. watchdog timer registers offset register name access reset value 0x00 control register wdt_cr write-only - 0x04 mode register wdt_mr read/write once 0x3fff_2fff 0x08 status register wdt_sr read-only 0x0000_0000 31 30 29 28 27 26 25 24 key 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????wdrstt
115 6289d?atarm?3-oct-11 at91sam9r64/rl64 18.4.2 watchdog timer mode register register name: wdt_mr access type: read/write once ? wdv: watchdog counter value defines the value loaded in the 12-bit watchdog counter. ? wdfien: watchdog fault interrupt enable 0: a watchdog fault (underflow or error) has no effect on interrupt. 1: a watchdog fault (underflow or error) asserts interrupt. ? wdrsten: watchdog reset enable 0: a watchdog fault (underflow or error) has no effect on the resets. 1: a watchdog fault (underflow or error) triggers a watchdog reset. ? wdrproc: watchdog reset processor 0: if wdrsten is 1, a watchdog fault (underflow or error) activates all resets. 1: if wdrsten is 1, a watchdog fault (underflow or error) activates the processor reset. ? wdd: watchdog delta value defines the permitted range for reloading the watchdog timer. if the watchdog timer value is less than or equal to w dd, writing wdt_cr with wdrs tt = 1 restarts the timer. if the watchdog timer value is greater than wdd, writing wdt_cr with wdrstt = 1 causes a watchdog error. ? wddbghlt: watchdog debug halt 0: the watchdog runs when the processor is in debug state. 1: the watchdog stops when the processor is in debug state. ? wdidlehlt: watchdog idle halt 0: the watchdog runs when the system is in idle mode. 1: the watchdog stops when the system is in idle state. ? wddis: watchdog disable 0: enables the watchdog timer. 1: disables the watchdog timer. 31 30 29 28 27 26 25 24 wdidlehlt wddbghlt wdd 23 22 21 20 19 18 17 16 wdd 15 14 13 12 11 10 9 8 wddis wdrproc wdrsten wdfien wdv 76543210 wdv
116 6289d?atarm?3-oct-11 at91sam9r64/rl64 18.4.3 watchdog timer status register register name: wdt_sr access type: read-only ? wdunf: watchdog underflow 0: no watchdog underflow occurred since the last read of wdt_sr. 1: at least one watchdog underflow occurred since the last read of wdt_sr. ? wderr: watchdog error 0: no watchdog error occurred since the last read of wdt_sr. 1: at least one watchdog error occurred since the last read of wdt_sr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ??????wderrwdunf
117 6289d?atarm?3-oct-11 at91sam9r64/rl64 19. shutdown controller (shdwc) 19.1 description the shutdown controller controls the pow er supplies vddio and vddcore and the wake-up detection on debounced input lines. 19.2 block diagram figure 19-1. shutdown contro ller block diagram 19.3 i/o lines description 19.4 product dependencies 19.4.1 power management the shutdown controller is continuously clock ed by slow clock. the power management con- troller has no effect on the behavior of the shutdown controller. shutdown wake-up shutdown output controller shdn wkup0 shdw wkmode0 shutdown controller rtc alarm rtt alarm rttwken rtcwken shdw_mr shdw_mr shdw_mr shdw_cr cptwk0 wakeup0 rttwk rtcwk shdw_sr shdw_sr shdw_sr set set set reset reset reset read shdw_sr read shdw_sr read shdw_sr slck table 19-1. i/o lines description name description type wkup0 wake-up 0 input input shdn shutdown output output
118 6289d?atarm?3-oct-11 at91sam9r64/rl64 19.5 functional description the shutdown controller manages the main power supply. to do so, it is supplied with vddbu and manages wake-up input pins and one output pin, shdn. a typical application connects the pin shdn to the shutdown input of the dc/dc converter pro- viding the main power supplies of the system , and especially vddcore and/or vddio. the wake-up inputs (wkup0) connect to any push-buttons or signal that wake up the system. the software is able to control the pin s hdn by writing the shutdown control register (shdw_cr) with the bit shdw at 1. the shutdow n is taken into account only 2 slow clock cycles after the write of shdw_ cr. this register is password-protected and so the value written should contain the correct key for the command to be taken into account. as a result, the system should be powered down. a level change on wkup0 is used as wake-up. wake-up is configured in the shutdown mode register (shdw_mr). the transition detector can be programmed to detect either a positive or negative transition or any level change on wkup 0. the detection can also be disabled. pro- gramming is performed by defining wkmode0. moreover, a debouncing circuit can be programmed for wkup0. the debouncing circuit filters pulses on wkup0 shorter than the programmed number of 16 slck cycles in cptwk0 of the shdw_mr register. if the programmed level change is detected on a pin, a counter starts. when the counter reaches the value programmed in the corresponding field, cptwk0, the shdn pin is released. if a new input change is detected before the counter reaches the corre- sponding value, the counter is stopped and cleared. wakeup0 of the status register (shdw_sr) reports the detection of the programmed events on wkup0 with a reset after the read of shdw_sr. the shutdown controller can be programmed so as to activate the wake-up using the rtt alarm (the detection of the rising edge of the rt t alarm is synchronized with slck). this is done by writing the shdw_mr register using the rttwken fields. when enabled, the detec- tion of the rtt alarm is reported in the rttwk bi t of the shdw_sr status register. it is reset after the read of shdw_sr. when using the rtt alarm to wake up the system, the user must ensure that the rtt alar m status flag is clear ed before shutting down the system. otherwise, no rising edge of the status flag may be detected and the wake-up fails. the shutdown controller can be programmed so as to activate the wake-up using the rtc alarm (the detection of the rising edge of the rtc alarm is synchronized with slck). this is done by writing the shdw_mr register using the rtcwken field. when enabled, the detection of the rtc alarm is reported in the rtcwk bit of the shdw_sr status register. it is reset after the read of shdw_sr. when using the rtc alarm to wake up th e system, the user must ensure that the rtc alarm status flag is cleared before shutting down the system. otherwise, no rising edge of the status flag may be detected and the wake-up fails fail.
119 6289d?atarm?3-oct-11 at91sam9r64/rl64 19.6 shutdown controller (shdwc) user interface table 19-2. register mapping offset register name access reset 0x00 shutdown control register shdw_cr write-only - 0x04 shutdown mode register shdw_mr read-write 0x0000_0003 0x08 shutdown status register shdw_sr read-only 0x0000_0000
120 6289d?atarm?3-oct-11 at91sam9r64/rl64 19.6.1 shutdown control register register name: shdw_cr access type: write-only ? shdw: shutdown command 0 = no effect. 1 = if key is correct, asserts the shdn pin. ?key: password should be written at value 0xa5. writing any other value in this field aborts the write operation. 31 30 29 28 27 26 25 24 key 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????shdw
121 6289d?atarm?3-oct-11 at91sam9r64/rl64 19.6.2 shutdown mode register register name: shdw_mr access type: read/write ? wkmode0: wake-up mode 0 ? cptwk0: counter on wake-up 0 defines the number of 16 slow clock cycles, the level detection on the corresponding input pin shall last before the wake- up event occurs. because of the internal synchro nization of wkup0, the shdn pin is released (cptwk x 16 + 1) slow clock cycles after the event on wkup. ? rttwken: real-time timer wake-up enable 0 = the rtt alarm signal has no effect on the shutdown controller. 1 = the rtt alarm signal forces the de-assertion of the shdn pin. ? rtcwken: real-time clock wake-up enable 0 = the rtc alarm signal has no effect on the shutdown controller. 1 = the rtc alarm signal forces the de-assertion of the shdn pin. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????rtcwkenrttwken 15 14 13 12 11 10 9 8 ???? 76543210 cptwk0 ? ? wkmode0 wkmode[1:0] wake-up inpu t transition selection 0 0 none. no detection is performed on the wake-up input 0 1 low to high level 1 0 high to low level 1 1 both levels change
122 6289d?atarm?3-oct-11 at91sam9r64/rl64 19.6.3 shutdown status register register name: shdw_sr access type: read-only ? wakeup0: wake-up 0 status 0 = no wake-up event occurred on the corresponding wake-up input since the last read of shdw_sr. 1 = at least one wake-up event occurred on the corresponding wake-up input since the last read of shdw_sr. ? rttwk: real-time timer wake-up 0 = no wake-up alarm from the rtt occurred since the last read of shdw_sr. 1 = at least one wake-up alarm from the rtt occurred since the last read of shdw_sr. ? rtcwk: real-time clock wake-up 0 = no wake-up alarm from the rtc occurred since the last read of shdw_sr. 1 = at least one wake-up alarm from the rtc occurred since the last read of shdw_sr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????rtcwkrttwk 15 14 13 12 11 10 9 8 ???????? 76543210 ???????w akeup0
123 6289d?atarm?3-oct-11 at91sam9r64/rl64 20. real-time clock (rtc) 20.1 description the real-time clock (rtc) peripheral is de signed for very low power consumption. it combines a complete time-of-day clock with alarm and a two-hundred-year gregorian calen- dar, complemented by a programmable periodic interrupt. the alarm and calendar registers are accessed by a 32-bit data bus. the time and calendar values are coded in binary-coded decimal (bcd) format. the time format can be 24-hour mode or 12-hour mode with an am/pm indicator. updating time and calendar fields and configuri ng the alarm fields are performed by a parallel capture on the 32-bit data bus. an entry control is performed to avoid loading registers with incompatible bcd format data or with an incompatible date according to the current month/year/century. 20.2 block diagram figure 20-1. block diagram 20.3 product dependencies 20.3.1 power management the real-time clock is cont inuously clocked at 32768 hz. the power management controller has no effect on rtc behavior. 20.3.2 interrupt the rtc interrupt is connected to interrupt source 1 (irq1) of the advanced interrupt controller. this interrupt line is due to th e or-wiring of the system periphera l interrupt lines (system timer, real time clock, power management controller, memory controller, etc.). when a system interrupt occurs, the service routi ne must first determine the cause of the interrupt. this is done by reading the status registers of the above system peripherals successively. 20.4 functional description the rtc provides a full binary-coded decimal (b cd) clock that includes century (19/20), year (with leap years), month, date, day, hours, minutes and seconds. bus interface 32768 divider time crystal oscillator: slck bus interface date rtc interrupt entry control interrupt control
124 6289d?atarm?3-oct-11 at91sam9r64/rl64 the valid year range is 1900 to 2099, a two-hundred-year gregorian calendar achieving full y2k compliance. the rtc can operate in 24-hour mode or in 12-hour mode with an am/pm indicator. corrections for leap years are included (all years di visible by 4 being leap years, including year 2000). this is correct up to the year 2099. after hardware reset, the calendar is initialized to thursday, january 1, 1998. 20.4.1 reference clock the reference clock is slow clock (slck). during low power modes of the processor (idle mode), the oscillator runs and power consump- tion is critical. the crystal selection has to ta ke into account the current consumption for power saving and the frequency drift due to temperature effect on the circuit for time accuracy. 20.4.2 timing the rtc is updated in real time at one-second intervals in normal mode for the counters of sec- onds, at one-minute intervals for the counter of minutes and so on. due to the asynchronous operation of the rtc with respect to the rest of the chip, to be certain that the value read in the rtc registers (century, year, month, date, day, hours, minutes, sec- onds) are valid and stable, it is necessary to read these registers twice. if the data is the same both times, then it is valid. therefore, a minimu m of two and a maximum of three accesses are required. 20.4.3 alarm the rtc has five programmable fields: month, date, hours, minutes and seconds. each of these fields can be enabled or disabled to match the alarm condition: ? if all the fields are enabled, an alarm flag is generated (the corresponding flag is asserted and an interrupt generated if enabled) at a given month, date, hour/minute/second. ? if only the ?seconds? field is enabled, then an alarm is generated every minute. depending on the co mbination of fields enabled, a large number of possibilit ies are available to the user ranging from minutes to 365/366 days. 20.4.4 error checking verification on user interface data is performed when accessing the century, year, month, date, day, hours, minutes, seconds and alarms. a chec k is performed on illegal bcd entries such as illegal date of the month with regard to the year and century configured. if one of the time fields is not correct, the data is not loaded into the register/counter and a flag is set in the validity register. the user can not reset this flag. it is reset as soon as an acceptable value is programmed. this avoids any further si de effects in the hardware. the same procedure is done for the alarm. the following checks are performed: 1. century (check if it is in range 19 - 20) 2. year (bcd entry check) 3. date (check range 01 - 31) 4. month (check if it is in bcd range 01 - 12, check validity regarding ?date?)
125 6289d?atarm?3-oct-11 at91sam9r64/rl64 5. day (check range 1 - 7) 6. hour (bcd checks: in 24-hour mode, check range 00 - 23 and check that am/pm flag is not set if rtc is set in 24-hour mode; in 12-hour mode check range 01 - 12) 7. minute (check bcd and range 00 - 59) 8. second (check bcd and range 00 - 59) note: if the 12-hour mode is selected by means of the rtc_mode register, a 12-hour value can be pro- grammed and the returned value on rtc_time will be the corresponding 24-hour value. the entry control checks the value of the am/pm indica tor (bit 22 of rtc_time register) to determine the range to be checked. 20.4.5 updating time/calendar to update any of the time/calendar fields, the user must first stop the rtc by setting the corre- sponding field in the control register. bit updtim must be set to update time fields (hour, minute, second) and bit updcal must be set to update calendar fields (century, year, month, date, day). then the user must poll or wait for the interrupt (if enabled) of bit ackupd in the status regis- ter. once the bit reads 1, the user can write to the appropriate register. once the update is finished, the user must reset (0) updtim and/or updcal in the control register. when programming the calendar fields, the time fi elds remain enabled. this avoids a time slip in case the user stays in the calendar update phase for several tens of seconds or more. in suc- cessive update operations, the user must wait at least one second after resetting the updtim/updcal bit in the rtc_cr (control regist er) before setting these bits again. this is done by waiting for the sec flag in the status register before setting updtim/updcal bit. after resetting updtim/updcal, the sec flag must also be cleared. 20.5 real-time clock (r tc) user interface note: 1. values in the version register vary wit h the version of the ip block implementation. table 20-1. register mapping offset register regist er name read/write reset 0x00 control register rtc_cr read/write 0x0 0x04 mode register rtc_mr read/write 0x0 0x08 time register rtc_timr read/write 0x0 0x0c calendar register rtc_calr read/write 0x01819819 0x10 time alarm register rtc_timalr read/write 0x0 0x14 calendar alarm register rtc_calalr read/write 0x01010000 0x18 status register rtc_sr read-only 0x0 0x1c status clear command register rtc_sccr write-only --- 0x20 interrupt enable register rtc_ier write-only --- 0x24 interrupt disable register rtc_idr write-only --- 0x28 interrupt mask register rtc_imr read-only 0x0 0x2c valid entry register rtc_ver read-only 0x0 0xfc version register (1) rtc-version read-only 0x-
126 6289d?atarm?3-oct-11 at91sam9r64/rl64
127 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.1 rtc control register name: rtc_cr access type: read/write ? updtim: update request time register 0 = no effect. 1 = stops the rtc time counting. time counting consists of second, minute and hour counters. time counters can be programmed once this bit is set and acknowledged by the bit ackupd of the status register. ? updcal: update request calendar register 0 = no effect. 1 = stops the rtc calendar counting. calendar counting consists of day, date, month, year and century counters. calendar counters can be programmed once this bit is set. ? timevsel: time ev ent selection the event that generates the flag timev in rtc_sr (status register) depends on the value of timevsel. 0 = minute change. 1 = hour change. 2 = every day at midnight. 3 = every day at noon. ? calevsel: calendar event selection the event that ge nerates the flag calev in rtc_sr depends on the value of calevsel. 0 = week change (every monday at time 00:00:00). 1 = month change (every 01 of each month at time 00:00:00). 2, 3 = year change (every january 1 at time 00:00:00). 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?????? cal evsel 15 14 13 12 11 10 9 8 ?????? timevsel 76543210 ??????updcalupdtim
128 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.2 rtc mode register name: rtc_mr access type: read/write ? hrmod: 12-/24-hour mode 0 = 24-hour mode is selected. 1 = 12-hour mode is selected. all non-significant bits read zero. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????hrmod
129 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.3 rtc time register name: rtc_timr access type: read/write ? sec: current second the range that can be set is 0 - 59 (bcd). the lowest four bits encode the units. the higher bits encode the tens. ? min: current minute the range that can be set is 0 - 59 (bcd). the lowest four bits encode the units. the higher bits encode the tens. ? hour: current hour the range that can be set is 1 - 12 (bcd) in 12-hour mode or 0 - 23 (bcd) in 24-hour mode. ? ampm: ante meridiem post meridiem indicator this bit is the am/pm in dicator in 12-hour mode. 0 = am. 1 = pm. all non-significant bits read zero. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?ampm hour 15 14 13 12 11 10 9 8 ?min 76543210 ?sec
130 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.4 rtc calendar register name: rtc_calr access type: read/write ? cent: current century the range that can be set is 19 - 20 (bcd). the lowest four bits encode the units. the higher bits encode the tens. ? year: current year the range that can be set is 00 - 99 (bcd). the lowest four bits encode the units. the higher bits encode the tens. ? month: current month the range that can be set is 01 - 12 (bcd). the lowest four bits encode the units. the higher bits encode the tens. ? day: current day the range that can be set is 1 - 7 (bcd). the coding of the number (which number represents which day) is user-defined as it has no effect on the date counter. ? date: current date the range that can be set is 01 - 31 (bcd). the lowest four bits encode the units. the higher bits encode the tens. all non-significant bits read zero. 31 30 29 28 27 26 25 24 ?? date 23 22 21 20 19 18 17 16 day month 15 14 13 12 11 10 9 8 year 76543210 ?cent
131 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.5 rtc time alarm register name: rtc_timalr access type: read/write ? sec: second alarm this field is the alarm field corresponding to the bcd-coded second counter. ? secen: second alarm enable 0 = the second-matching alarm is disabled. 1 = the second-matching alarm is enabled. ? min: minute alarm this field is the alarm field corresponding to the bcd-coded minute counter. ? minen: minute alarm enable 0 = the minute-matching alarm is disabled. 1 = the minute-matching alarm is enabled. ? hour: hour alarm this field is the alarm field corresponding to the bcd-coded hour counter. ? ampm: am/pm indicator this field is the alarm field corresponding to the bcd-coded hour counter. ? houren: hour alarm enable 0 = the hour-matching alarm is disabled. 1 = the hour-matching alarm is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 houren ampm hour 15 14 13 12 11 10 9 8 minen min 76543210 secen sec
132 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.6 rtc calendar alarm register name: rtc_calalr access type: read/write ? month: month alarm this field is the alarm field corresponding to the bcd-coded month counter. ? mthen: month alarm enable 0 = the month-matching alarm is disabled. 1 = the month-matching alarm is enabled. ?date: date alarm this field is the alarm field corresponding to the bcd-coded date counter. ? dateen: date alarm enable 0 = the date-matching alarm is disabled. 1 = the date-matching alarm is enabled. 31 30 29 28 27 26 25 24 dateen ? date 23 22 21 20 19 18 17 16 mthen ? ? month 15 14 13 12 11 10 9 8 ???????? 76543210 ????????
133 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.7 rtc status register name: rtc_sr access type: read-only ? ackupd: acknowledge for update 0 = time and calendar registers cannot be updated. 1 = time and calendar registers can be updated. ? alarm: alarm flag 0 = no alarm matching condition occurred. 1 = an alarm matching condition has occurred. ? sec: second event 0 = no second event has occurred since the last clear. 1 = at least one second event has occurred since the last clear. ? timev: time event 0 = no time event has occurred since the last clear. 1 = at least one time event has occurred since the last clear. the time event is selected in the timevsel field in rtc_ctrl (control register) and can be any one of the following events: minute change, hour change, noon, midnight (day change). ? calev: calendar event 0 = no calendar event has occurred since the last clear. 1 = at least one calendar event has occurred since the last clear. the calendar event is selected in the calevsel field in rtc_cr and can be any one of the following events: week change, month change and year change. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? calev timev sec alarm ackupd
134 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.8 rtc status clear command register name: rtc_sccr access type: write-only ? ackclr: acknowledge clear 0 = no effect. 1 = clears corresponding status flag in the status register (rtc_sr). ? alrclr: alarm clear 0 = no effect. 1 = clears corresponding status flag in the status register (rtc_sr). ? secclr: second clear 0 = no effect. 1 = clears corresponding status flag in the status register (rtc_sr). ? timclr: time clear 0 = no effect. 1 = clears corresponding status flag in the status register (rtc_sr). ? calclr: calendar clear 0 = no effect. 1 = clears corresponding status flag in the status register (rtc_sr). 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? calclr timclr secclr alrclr ackclr
135 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.9 rtc interrupt enable register name: rtc_ier access type: write-only ? acken: acknowledge update interrupt enable 0 = no effect. 1 = the acknowledge for update interrupt is enabled. ? alren: alarm interrupt enable 0 = no effect. 1 = the alarm interrupt is enabled. ? secen: second event interrupt enable 0 = no effect. 1 = the second periodic interrupt is enabled. ? timen: time event interrupt enable 0 = no effect. 1 = the selected time event interrupt is enabled. ? calen: calendar event interrupt enable 0 = no effect. ? 1 = the selected calendar event interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? calen timen secen alren acken
136 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.10 rtc interrupt disable register name: rtc_idr access type: write-only ? ackdis: acknowledge update interrupt disable 0 = no effect. 1 = the acknowledge for update interrupt is disabled. ? alrdis: alarm interrupt disable 0 = no effect. 1 = the alarm interrupt is disabled. ? secdis: second event interrupt disable 0 = no effect. 1 = the second periodic interrupt is disabled. ? timdis: time event interrupt disable 0 = no effect. 1 = the selected time event interrupt is disabled. ? caldis: calendar event interrupt disable 0 = no effect. 1 = the selected calendar event interrupt is disabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? caldis timdis secdis alrdis ackdis
137 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.11 rtc interrupt mask register name: rtc_imr access type: read-only ? ack: acknowledge update interrupt mask 0 = the acknowledge for update interrupt is disabled. 1 = the acknowledge for update interrupt is enabled. ? alr: alarm interrupt mask 0 = the alarm interrupt is disabled. 1 = the alarm interrupt is enabled. ? sec: second event interrupt mask 0 = the second periodic interrupt is disabled. 1 = the second periodic interrupt is enabled. ? tim: time event interrupt mask 0 = the selected time event interrupt is disabled. 1 = the selected time event interrupt is enabled. ? cal: calendar event interrupt mask 0 = the selected calendar event interrupt is disabled. 1 = the selected calendar event interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???caltimsecalrack
138 6289d?atarm?3-oct-11 at91sam9r64/rl64 20.5.12 rtc valid entry register name: rtc_ver access type: read-only ? nvtim: non valid time 0 = no invalid data has been detected in rtc_timr (time register). 1 = rtc_timr has contained invalid data since it was last programmed. ? nvcal: non valid calendar 0 = no invalid data has been detected in rtc_calr (calendar register). 1 = rtc_calr has contained invalid data since it was last programmed. ? nvtimalr: non valid time alarm 0 = no invalid data has been detected in rtc_timalr (time alarm register). 1 = rtc_timalr has contained invalid data since it was last programmed. ? nvcalalr: non valid calendar alarm 0 = no invalid data has been detected in rtc_calalr (calendar alarm register). 1 = rtc_calalr has contained invalid data since it was last programmed. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????nvcalalrnvtimalrnvcalnvtim
139 6289d?atarm?3-oct-11 at91sam9r64/rl64 21. external bus interface (ebi) 21.1 description the external bus interface (ebi) is designed to ensure the successful data transfer between several external devices and the embedded memo ry controller of an arm-based device. the static memory, sdram and ecc controllers are all featured external memory controllers on the ebi. these external memory controllers are capable of handling several types of external memory and peripheral devices, such as sram, prom, eprom, eeprom, flash, and sdram. the ebi also supports the compactflash and the nand flash protocols via integrated circuitry that greatly reduces the requirements for external components. furthermore, the ebi handles data transfers with up to six external devices, each assigned to six address spaces defined by the embedded memory controller. data transfers are performed through a 16-bit or 32-bit data bus, an address bus of up to 26 bits, up to six chip select lines (ncs[5:0]) and several control pins that are generally multiplexed between the different external memory controllers.
140 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.2 block diagram 21.2.1 external bus interface 0 figure 21-1 shows the organization of the external bus interface 0. figure 21-1. organization of the external bus interface 0 external bus interface 0 d[15:0] a[15:2] pio mux logic user interface chip select assignor static memory controller sdram controller bus matrix apb ahb address decoders a16/ba0 a0/nbs0 a1/nwr2/nbs2 a17/ba1 ncs0 ncs3/nandcs nrd/cfoe ncs1/sdcs nwr0/nwe/cfwe nwr1/nbs1/cfior nwr3/nbs3/cfiow sdck sdcke ras cas sdwe d[31:16] a[24:23], a[20:18] a25/cfrnw ncs4/cfcs0 ncs5/cfcs1 ncs2 cfce1 - cfce2 nwait sda10 a21/nandale a22/nandcle nand flash logic compactflash logic ecc controller nandoe, nandwe
141 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.3 i/o lines description table 21-1. ebi i/o lines description name function type active level ebi ebi_d0 - ebi_d31 data bus i/o ebi_a0 - ebi_a25 address bus output ebi_nwait external wait signal input low smc ebi_ncs0 - ebi_ncs5 chip select lines output low ebi_nwr0 - ebi_nwr3 wri te signals output low ebi_nrd read signal output low ebi_nwe write enable output low ebi_nbs0 - ebi_nbs3 byte mask signals output low ebi for compactflash support ebi_cfce1 - ebi_cfce2 compactf lash chip enable output low ebi_cfoe compactflash output enable output low ebi_cfwe compactflash write enable output low ebi_cfior compactflash i/o read signal output low ebi_cfiow compactflash i/o write signal output low ebi_cfrnw compactflash read not write signal output ebi_cfcs0 - ebi_cfcs1 compactflash chip select lines output low ebi for nand flash support ebi_nandcs nand flash chip select line output low ebi_nandoe nand flash output enable output low ebi_nandwe nand flash write enable output low sdram controller ebi_sdck sdram clock output ebi_sdcke sdram clock enable output high ebi_sdcs sdram controller chip select line output low ebi_ba0 - ebi_ba1 bank select output ebi_sdwe sdram write enable output low ebi_ras - ebi_cas row and column signal output low ebi_nwr0 - ebi_nwr3 wri te signals output low ebi_nbs0 - ebi_nbs3 byte mask signals output low ebi_sda10 sdram address 10 line output
142 6289d?atarm?3-oct-11 at91sam9r64/rl64 the connection of some signals through the mux logic is not direct and depends on the memory controller in use at the moment. table 21-2 on page 142 details the connections between the two memory controllers and the ebi pins. table 21-2. ebi pins and memory controllers i/o lines connections ebix pins (1) sdramc i/o lines s mc i/o lines ebi_nwr1/nbs1/cfior nbs1 nwr1/nub ebi_a0/nbs0 not supported smc_a0/nlb ebi_a1/nbs2/nwr2 not supported smc_a1 ebi_a[11:2] sdramc_a[9:0] smc_a[11:2] ebi_sda10 sdramc_a10 not supported ebi_a12 not supported smc_a12 ebi_a[14:13] sdramc_a [12:11] smc_a[14:13] ebi_a[22:15] not supported smc_a[22:15] ebi_a[25:23] not supported smc_a[25:23] ebi_d[31:0] d[31:0] d[31:0]
143 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.4 application example 21.4.1 hardware interface table 21-3 on page 143 details the connections to be applied between the ebi pins and the external devices for each memory controller. notes: 1. nwr1 enables upper byte writes. nwr0 enables lower byte writes. 2. nwrx enables corresponding byte x writes. (x = 0,1,2 or 3) 3. nbs0 and nbs1 enable respectively lower and upper bytes of the lower 16-bit word. 4. nbs2 and nbs3 enable respectively lower and upper bytes of the upper 16-bit word. 5. bex: byte x enable (x = 0,1,2 or 3) table 21-3. ebi pins and external static devices connections signals: ebi_ pins of the interfaced device 8-bit static device 2 x 8-bit static devices 16-bit static device 4 x 8-bit static devices 2 x 16-bit static devices 32-bit static device controller smc d0 - d7 d0 - d7 d0 - d7 d0 - d7 d0 - d7 d0 - d7 d0 - d7 d8 - d15 ? d8 - d15 d8 - d15 d8 - d15 d8 - 15 d8 - 15 d16 - d23 ? ? ? d16 - d23 d16 - d23 d16 - d23 d24 - d31 ? ? ? d24 - d31 d24 - d31 d24 - d31 a0/nbs0 a0 ? nlb ? nlb (3) be0 (5) a1/nwr2/nbs2 a1 a0 a0 we (2) nlb (4) be2 (5) a2 - a22 a[2:22] a[1:21] a[1:21] a[0:20] a[0:20] a[0:20] a23 - a25 a[23:25] a[22:24] a[22:24] a[21:23] a[21:23] a[21:23] ncs0 cs cs cs cs cs cs ncs1/sdcs cs cs cs cs cs cs ncs2 cs cs cs cs cs cs ncs3/nandcs cs cs cs cs cs cs ncs4/cfcs0 cs cs cs cs cs cs ncs5/cfcs1 cs cs cs cs cs cs nrd/cfoe oe oe oe oe oe oe nwr0/nwe we we (1) we we (2) we we nwr1/nbs1 ? we (1) nub we (2) nub (3) be1 (5) nwr3/nbs3 ? ? ? we (2) nub (4) be3 (5)
144 6289d?atarm?3-oct-11 at91sam9r64/rl64 table 21-4. ebi pins and external devices connections signals: ebi_ pins of the interfaced device sdram compactflash (ebi only) compactflash true ide mode (ebi only) nand flash controller sdramc smc d0 - d7 d0 - d7 d0 - d7 d0 - d7 ad0-ad7 d8 - d15 d8 - d15 d8 - 15 d8 - 15 ad8-ad15 d16 - d31 d16 - d31 ? ? ? a0/nbs0 dqm0 a0 a0 ? a1/nwr2/nbs2 dqm2 a1 a1 ? a2 - a10 a[0:8] a[2:10] a[2:10] ? a11 a9 ? ? ? sda10 a10 ? ? ? a12 ? ? ? ? a13 - a14 a[11:12] ? ? ? a15 ? ? ? ? a16/ba0 ba0 ? ? ? a17/ba1 ba1 ? ? ? a18 - a20 ? ? ? ? a21/nandale ? ? ? ale a22/nandcle ? reg reg cle a23 - a24 ? ? ? ? a25 ? cfrnw (1) cfrnw (1) ? ncs0 ? ? ? ? ncs1/sdcs cs ? ? ? ncs2 ? ? ? ? ncs3/nandcs ? ? ? ? ncs4/cfcs0 ? cfcs0 (1) cfcs0 (1) ? ncs5/cfcs1 ? cfcs1 (1) cfcs1 (1) ? nandoe ? ? ? oe nandwe ? ? ? we nrd/cfoe ? oe ? ? nwr0/nwe/cfwe ? we we ? nwr1/nbs1/cfior dqm1 ior ior ? nwr3/nbs3/cfiow dqm3 iow iow ? cfce1 ? ce1 cs0 ? cfce2 ? ce2 cs1 ? sdck clk ? ? ?
145 6289d?atarm?3-oct-11 at91sam9r64/rl64 note: 1. not directly connected to the compactflash slot. permit s the control of the bidirectional buffer between the ebi data bu s and the compactflash slot. 2. any pio line. sdcke cke ? ? ? ras ras ? ? ? cas cas ? ? ? sdwe we ? ? ? nwait ? wait wait ? pxx (2) ? cd1 or cd2 cd1 or cd2 ? pxx (2) ???ce pxx (2) ???rdy table 21-4. ebi pins and external devices connections (continued) signals: ebi_ pins of the interfaced device sdram compactflash (ebi only) compactflash true ide mode (ebi only) nand flash controller sdramc smc
146 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.4.2 connection examples figure 21-2 shows an example of connections be tween the ebi and external devices. figure 21-2. ebi connections to memory devices 21.5 product dependencies 21.5.1 i/o lines the pins used for interfacing the external bus interface may be multiplexed with the pio lines. the programmer must first program the pio controller to assign the external bus interface pins to their peripheral function. if i/o lines of the external bus interface are not used by the applica- tion, they can be used for other purposes by the pio controller. 21.6 functional description the ebi transfers data between the internal ahb bus (handled by the bus matrix) and the exter- nal memories or peripheral devices. it controls the waveforms and the parameters of the external address, data and control buses and is composed of the following elements: ? the static memory controller (smc) ? the sdram controller (sdramc) ebi d0-d31 a2-a15 ras cas sdck sdcke sdwe a0/nbs0 2m x 8 sdram d0-d7 a0-a9, a11 ras cas clk cke we dqm cs ba0 ba1 nwr1/nbs1 a1/nwr2/nbs2 nwr3/nbs3 ncs1/sdcs d0-d7 d8-d15 a16/ba0 a17/ba1 a18-a25 a10 sda10 sda10 a2-a11, a13 ncs0 ncs2 ncs3 ncs4 ncs5 a16/ba0 a17/ba1 2m x 8 sdram d0-d7 a0-a9, a11 ras cas clk cke we dqm cs ba0 ba1 a10 sda10 a2-a11, a13 a16/ba0 a17/ba1 2m x 8 sdram d0-d7 a0-a9, a11 ras cas clk cke we dqm cs ba0 ba1 d16-d23 d24-d31 a10 sda10 a2-a11, a13 a16/ba0 a17/ba1 2m x 8 sdram d0-d7 a0-a9, a11 ras cas clk cke we dqm cs ba0 ba1 a10 sda10 a2-a11, a13 a16/ba0 a17/ba1 nbs0 nbs1 nbs3 nbs2 nrd/noe nwr0/nwe 128k x 8 sram 128k x 8 sram d0-d7 d0-d7 a0-a16 a0-a16 a1-a17 a1-a17 cs cs oe we d0-d7 d8-d15 oe we nrd/noe a0/nwr0/nbs0 nrd/noe nwr1/nbs1 sdwe sdwe sdwe sdwe
147 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? the ecc controller (ecc) ? a chip select assignment feature that assigns an ahb address space to the external devices ? a multiplex controller circuit that shares the pins between the different memory controllers ? programmable compactflash support logic ? programmable nand flash support logic 21.6.1 bus multiplexing the ebi and ebi1 offers a complete set of cont rol signals that share the 32-bit data lines, the address lines of up to 26 bits and the control signals through a multiplex logic operating in func- tion of the memory area requests. multiplexing is specifically organized in or der to guarantee the maintenance of the address and output control lines at a stable state while no ex ternal access is being pe rformed. mult iplexing is also designed to respect the data float times defined in the memory controllers. furthermore, refresh cycles of the sdram are executed independently by the sdram controller without delaying the other external memory controller accesses. 21.6.2 pull-up control the ebi_csa register in the chip configurati on user interface permit enabling of on-chip pull- up resistors on the data bus lines not multiplexed with the pio controller lines. the pull-up resis- tors are enabled after reset. setting the ebi_dbpuc bit disables the pull-up resistors on the d0 to d15 lines. enabling the pull-up resistor on the d16-d31 lines can be performed by program- ming the appropriate pio controller. 21.6.3 static memory controller for information on the static memory controller, refer to the static me mory controller section. 21.6.4 sdram controller for information on the sdram contro ller, refer to the sdram section. 21.6.5 ecc controller for information on the ecc contro ller, refer to the ecc section. 21.6.6 compactflash support the external bus interface integrates circuitry that interfaces to compactflash devices. the compactflash logic is driven by the st atic memory controller (smc) on the ncs4 and/or ncs5 address space. programming the ebi_cs 4a and/or ebi_cs5a bit of the ebi_csa reg- ister in the chip configuration user interface to the appropriate value enables this logic. for details on this register, refer to the in the bus matrix section. access to an external compact- flash device is then made by accessing the address space reserved to ncs4 and/or ncs5 (i.e., between 0x5000 0000 and 0x5fff ffff for ncs4 and between 0x6000 0000 and 0x6fff ffff for ncs5). all compactflash modes (attribute memory, common memory, i/o and true ide) are sup- ported but the signals _iois16 (i/o and true ide modes) and _ata sel (true ide mode) are not handled.
148 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.6.6.1 i/o mode, common memory mode, attribute memory mode and true ide mode within the ncs4 and/or ncs5 address space, the current transfer address is used to distinguish i/o mode, common memory mode, attribute memory mode and true ide mode. the different modes are accessed through a specific memory mapping as illustrated on figure 21-3 . a[23:21] bits of the transfer address are used to select the desired mode as described in table 21-5 on page 148 . figure 21-3. compactflash memory mapping note: the a22 pin is used to drive the reg signal of the compactflash device (except in true ide mode). 21.6.6.2 cfce1 and cfce2 signals to cover all types of access, the smc must be al ternatively set to drive 8-bit data bus or 16-bit data bus. the odd byte access on the d[7:0] bus is only possible when the smc is configured to drive 8-bit memory devices on the corresponding ncs pin (ncs4 or ncs5). the chip select register (dbw field in the corresponding chip select register) of the ncs4 and/or ncs5 address space must be set as shown in table 21-6 to enable the required access type. nbs1 and nbs0 are the byte selection signals from smc and are available when the smc is set in byte select mode on the corresponding chip select. cf address space attribute memory mode space common memory mode space i/o mode space true ide mode space true ide alternate mode space offset 0x00e0 0000 offset 0x00c0 0000 offset 0x0080 0000 offset 0x0040 0000 offset 0x0000 0000 table 21-5. compactflash mode selection a[23:21] mode base address 000 attribute memory 010 common memory 100 i/o mode 110 true ide mode 111 alternate true ide mode
149 6289d?atarm?3-oct-11 at91sam9r64/rl64 the cfce1 and cfce2 waveforms are identical to the corresponding ncsx waveform. for details on these waveforms and timings, refer to the static memory controller section. 21.6.6.3 read/write signals in i/o mode and true ide mode, the compactflash logic drives the read and write command signals of the smc on cfior and cfiow signals, while the cfoe and cfwe signals are deac- tivated. likewise, in common memory mode and attribute memory mode, the smc signals are driven on the cfoe and cfwe signals, while the cfior and cfiow are deactivated. figure 21-4 on page 150 demonstrates a schematic representation of this logic. attribute memory mode, common memory mode and i/o mode are supported by setting the address setup and hold time on the ncs4 (and/or ncs5) chip select to the appropriate values. for details on these signal waveforms, please refer to the section: setup and hold cycles of the static memory controller section. table 21-6. cfce1 and cfce2 truth table mode cfce2 cfce1 dbw comment smc access mode attribute memory nbs1 nbs0 16 bits access to even byte on d[7:0] byte select common memory nbs1 nbs0 16bits access to even byte on d[7:0] access to odd by te on d[15:8] byte select 1 0 8 bits access to odd byte on d[7:0] i/o mode nbs1 nbs0 16 bits access to even byte on d[7:0] access to odd by te on d[15:8] byte select 1 0 8 bits access to odd byte on d[7:0] true ide mode task file 1 0 8 bits access to even byte on d[7:0] access to odd byte on d[7:0] data register 1 0 16 bits access to even byte on d[7:0] access to odd by te on d[15:8] byte select alternate true ide mode control register alternate status read 01 don?t care access to even byte on d[7:0] don?t care drive address 0 1 8 bits access to odd byte on d[7:0] standby mode or address space is not assigned to cf 11? ? ?
150 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 21-4. compactflash read/write control signals 21.6.6.4 multiplexing of compactflash signals on ebi pins table 21-8 on page 150 and table 21-9 on page 151 illustrate the multiple xing of the compact- flash logic signals with other ebi signals on the ebi pins. the ebi pins in table 21-8 are strictly dedicated to the compactflash interface as so on as the ebi_cs4a and/or ebi_cs5a field of the ebi_csa register in the chip configuration user interface is set. these pins must not be used to drive any other memory devices. the ebi pins in table 21-9 on page 151 remain shared between all memory areas when the cor- responding compactflash interface is enabled (ebi_cs4a = 1 and/or ebi_cs5a = 1). smc nrd_noe nwr0_nwe a23 cfior cfiow cfoe cfwe 1 1 compactflash logic external bus interface 1 1 1 0 a22 1 0 1 0 1 0 table 21-7. compactflash mode selection mode base address cfoe cfwe cfior cfiow attribute memory common memory nrd nwr0_nwe 1 1 i/o mode 1 1 nrd nwr0_nwe true ide mode 0 1 nrd nwr0_nwe table 21-8. dedicated compactflash interface multiplexing pins compactflash signals ebi signals cs4a = 1 cs5a = 1 cs4a = 0 cs5a = 0 ncs4/cfcs0 cfcs0 ncs4 ncs5/cfcs1 cfcs1 ncs5
151 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.6.6.5 application example figure 21-5 on page 152 illustrates an example of a comp actflash application. cfcs0 and cfrnw signals are not directly connected to the compactflash slot 0, but do control the direc- tion and the output enable of the buffers between the ebi and the compactflash device. the timing of the cfcs0 signal is identical to the ncs4 signal. moreover, the cfrnw signal remains valid throughout the transfer, as does the address bus. the compactflash _wait sig- nal is connected to the nwait input of the static memory controller. for details on these waveforms and timings, refer to the static memory controller section. table 21-9. shared compactflash interface mu ltiplexing pins access to compactflash device access to other ebi devices compactflash sign als ebi signals nrd/cfoe cfoe nrd nwr0/nwe/cfwe cfwe nwr0/nwe nwr1/nbs1/cfior cfior nwr1/nbs1 nwr3/nbs3/cfiow cfiow nwr3/nbs3 a25/cfrnw cfrnw a25
152 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 21-5. compactflash application example 21.6.7 nand flash support external bus interface integrates circuitry that interfaces to nand flash devices. 21.6.7.1 external bus interface the nand flash logic is driven by the static memory controller on the ncs3 address space. programming the ebi_cs3a field in the ebi_csa register in the chip configuration user inter- face to the appropriate value enables the nand flash logic. for details on this register, refer to the bus matrix section. access to an external nand flash device is then made by accessing the address space reserved to ncs3 (i.e., between 0x4000 0000 and 0x4fff ffff). the nand flash logic drives the read and write command signals of the smc on the nandoe and nandwe signals when the ncs3 signal is active. nandoe and nandwe are invalidated as soon as the transfer address fails to lie in the ncs3 address space. see figure ?nand flash signal multiplexing on ebi pins? on page 153 for more information. for details on these wave- forms, refer to the static memory controller section. compactflash connector ebi d[15:0] /oe dir _cd1 _cd2 /oe d[15:0] a25/cfrnw ncs4/cfcs0 cd (pio) a[10:0] a22/reg noe/cfoe a[10:0] _reg _oe _we _iord _iowr _ce1 _ce2 nwe/cfwe nwr1/cfior nwr3/cfiow cfce1 cfce2 _wait nwait
153 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 21-6. nand flash signal multiplexing on ebi pins 21.6.7.2 nand flash signals the address latch enable and command latch enable signals on the nand flash device are driven by address bits a22 and a21 of the ebi address bus. the command, address or data words on the data bus of the nand flash device are distinguished by using their address within the ncsx address space. the chip enable (ce) signal of the device and the ready/busy (r/b) signals are connected to pio lines. the ce si gnal then remains asserted even when ncsx is not selected, preventing the device from returning to standby mode. figure 21-7. nand flash application example note: the external bus interfaces 0 and 1 are also able to support 16-bit devices. smc nrd nwr0_nwe nandoe nandwe nand flash logic ncsx nandwe nandoe d[7:0] ale nandwe nandoe noe nwe a[22:21] cle ad[7:0] pio r/b ebi ce nand flash pio ncsx/nandcs not connected
154 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7 implementation examples the following hardware conf igurations are given for illustration only. the user should refer to the memory manufacturer we b site to check current device availability. 21.7.1 16-bit sdram 21.7.1.1 hardware configuration 21.7.1.2 software configuration the following configuration has to be performed: ? assign the ebi cs1 to the sdram controller by setting the bit ebi_cs1a in the ebi chip select assignment register locate d in the bus matrix memory space. ? initialize the sdram controller depending on the sdram device and system bus frequency. the data bus width is to be programmed to 16 bits. the sdram initialization sequence is described in the section ?sdram device initialization? in ?sdram controller (sdramc)?. d13 d12 d8 d7 d3 d11 d2 d14 d4 d0 ras d1 d10 cas sda10 sdck d9 sdwe sdcke d5 d15 d6 a4 a9 a14 a5 a2 a6 a3 ba0 a10 a13 a8 ba1 a7 a11 a0 ras cas sda10 sdwe sdcke sdck cfior_nbs1_nwr1 sdcs_ncs1 ba0 ba1 d[0..15] a[0..14] 3v3  256 mbits  (not used a12) tsop54 package c1 1 c1 1 c7 1 c7 1 c2 1 c2 1 mt48lc16m16a2 u1 mt48lc16m16a2 u1 a0 23 a1 24 a2 25 a3 26 a4 29 a5 30 a6 31 a7 32 a8 33 a9 34 a10 22 ba0 20 a12 36 dq0 2 dq1 4 dq2 5 dq3 7 dq4 8 dq5 10 dq6 11 dq7 13 dq8 42 dq9 44 dq10 45 dq11 47 dq12 48 dq13 50 dq14 51 dq15 53 vdd 1 vss 28 vss 41 vddq 3 vdd 27 n.c 40 clk 38 cke 37 dqml 15 dqmh 39 cas 17 ras 18 we 16 cs 19 vddq 9 vddq 43 vddq 49 vssq 6 vssq 12 vssq 46 vssq 52 vdd 14 vss 54 a11 35 ba1 21 c3 1 c3 1 c4 1 c4 1 c5 1 c5 1 c6 1 c6 1
155 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.2 32-bit sdram 21.7.2.1 hardware configuration 21.7.2.2 software configuration the following configuration has to be performed: ? assign the ebi cs1 to the sdram controller by setting the bit ebi_cs1a in the ebi chip select assignment register locate d in the bus matrix memory space. ? initialize the sdram controller depending on the sdram device and system bus frequency. the data bus width is to be programmed to 32 bits. the data lines d[16..31] are multiplexed with pio lines and thus the dedicated pios must be programmed in peripheral mode in the pio controller. the sdram initialization sequence is described in the section ?sdram device initialization? in ?sdram controller (sdramc)?. cas sdcke sdck ras sdwe sda10 d13 d18 d12 d22 d8 d7 d3 d28 d11 d26 d21 d2 d14 d4 d24 d0 d23 ras d27 d1 d19 d10 d31 d17 cas sda10 d25 d29 d16 sdck d9 d20 sdwe sdcke d5 d30 d15 d6 a5 ba0 a2 a11 a7 a4 a9 a14 a8 a1 a5 a2 ba1 a13 a6 a3 a3 a10 ba0 a10 a13 a8 ba1 a6 a4 a14 a9 a7 a11 a0 ras cas sda10 sdwe sdcke sdck cfiow_nbs3_nwr3 cfior_nbs1_nwr1 sdcs_ncs1 ba0 ba1 d[0..31] a[0..14] 3v3 3v3   256 mbits 256 mbits   (not used a12) tsop54 package c11 100nf c11 100nf c9 100nf c9 100nf c1 100nf c1 100nf c12 100nf c12 100nf mt48lc16m16a2 u2 mt48lc16m16a2 u2 a0 23 a1 24 a2 25 a3 26 a4 29 a5 30 a6 31 a7 32 a8 33 a9 34 a10 22 ba0 20 a12 36 dq0 2 dq1 4 dq2 5 dq3 7 dq4 8 dq5 10 dq6 11 dq7 13 dq8 42 dq9 44 dq10 45 dq11 47 dq12 48 dq13 50 dq14 51 dq15 53 vdd 1 vss 28 vss 41 vddq 3 vdd 27 n.c 40 clk 38 cke 37 dqml 15 dqmh 39 cas 17 ras 18 we 16 cs 19 vddq 9 vddq 43 vddq 49 vssq 6 vssq 12 vssq 46 vssq 52 vdd 14 vss 54 a11 35 ba1 21 c7 100nf c7 100nf c13 100nf c13 100nf c8 100nf c8 100nf c14 100nf c14 100nf mt48lc16m16a2 u1 mt48lc16m16a2 u1 a0 23 a1 24 a2 25 a3 26 a4 29 a5 30 a6 31 a7 32 a8 33 a9 34 a10 22 ba0 20 a12 36 dq0 2 dq1 4 dq2 5 dq3 7 dq4 8 dq5 10 dq6 11 dq7 13 dq8 42 dq9 44 dq10 45 dq11 47 dq12 48 dq13 50 dq14 51 dq15 53 vdd 1 vss 28 vss 41 vddq 3 vdd 27 n.c 40 clk 38 cke 37 dqml 15 dqmh 39 cas 17 ras 18 we 16 cs 19 vddq 9 vddq 43 vddq 49 vssq 6 vssq 12 vssq 46 vssq 52 vdd 14 vss 54 a11 35 ba1 21 c2 100nf c2 100nf c3 100nf c3 100nf c4 100nf c4 100nf c5 100nf c5 100nf c6 100nf c6 100nf c10 100nf c10 100nf
156 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.3 8-bit nand flash 21.7.3.1 hardware configuration 21.7.3.2 software configuration the following configuration has to be performed: ? assign the ebi cs3 to the nand flash by setting the bit ebi_cs3a in the ebi chip select assignment register located in the bus matrix memory space ? reserve a21 / a22 for ale / cle functions. address and command latches are controlled respectively by setting to 1 the address bit a21 and a22 during accesses. ? nandoe and nandwe signals are multiplexed with pio lines and thus the dedicated pios must be programmed in peripheral mode in the pio controller. ? a21/nandale and a22/nandcle signals are multiplexed with pio lines and thus the dedicated pios must be programmed in peripheral mode in the pio controller. ? configure a pio line as an input to manage the ready/busy signal. ? configure static memory contro ller cs3 setup, pulse, cycle and mode accordingly to nand flash timings, the data bus width and the system bus frequency. d6 d0 d3 d4 d2 d1 d5 d7 nandoe nandwe (any pio) (any pio) ale cle d[0..7] 3v3 3v3 2 gb tsop48 package u1 k9f2g08u0m u1 k9f2g08u0m we 18 n.c 6 vcc 37 ce 9 re 8 n.c 20 wp 19 n.c 5 n.c 1 n.c 2 n.c 3 n.c 4 n.c 21 n.c 22 n.c 23 n.c 24 r/b 7 n.c 26 n.c 27 n.c 28 i/o0 29 n.c 34 n.c 35 vss 36 pre 38 n.c 39 vcc 12 vss 13 ale 17 n.c 11 n.c 10 n.c 14 n.c 15 cle 16 n.c 25 n.c 33 i/o1 30 i/o3 32 i/o2 31 n.c 47 n.c 46 n.c 45 i/o7 44 i/o6 43 i/o5 42 i/o4 41 n.c 40 n.c 48 r2 10k r2 10k c2 100nf c2 100nf r1 10k r1 10k c1 100nf c1 100nf
157 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.4 16-bit nand flash 21.7.4.1 hardware configuration 21.7.4.2 software configuration the software configuration is the same as for an 8-bit nand flash except the data bus width programmed in the mode register of the static memory controller. d6 d0 d3 d4 d2 d1 d5 d7 d14 d8 d11 d12 d10 d9 d13 d15 nandoe nandwe (any pio) ale cle d[0..15] (any pio) 3v3 3v3 2 gb tsop48 package r1 10k r1 10k r2 10k r2 10k c2 100nf c2 100nf c1 100nf c1 100nf u1 mt29f2g16aabwp-et u1 mt29f2g16aabwp-et we 18 n.c 6 vcc 37 ce 9 re 8 n.c 20 wp 19 n.c 5 n.c 1 n.c 2 n.c 3 n.c 4 n.c 21 n.c 22 n.c 23 n.c 24 r/b 7 i/o0 26 i/o8 27 i/o1 28 i/o9 29 n.c 34 n.c 35 n.c 36 pre 38 n.c 39 vcc 12 vss 13 ale 17 n.c 11 n.c 10 n.c 14 n.c 15 cle 16 vss 25 i/o11 33 i/o2 30 i/o3 32 i/o10 31 i/o15 47 i/o7 46 i/o14 45 i/o6 44 i/o13 43 i/o5 42 i/o12 41 i/o4 40 vss 48
158 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.5 nor flash on ncs0 21.7.5.1 hardware configuration 21.7.5.2 software configuration the default configuration for the static memory controller, byte select mode, 16-bit data bus, read/write controlled by chip select, allows boot on 16-bit non-volatile memory at slow clock. for another configuration, configure the static memory controller cs0 setup, pulse, cycle and mode depending on flash timings and system bus frequency. a21 a22 a1 a2 a3 a4 a5 a6 a7 a8 a15 a9 a12 a13 a11 a10 a14 a16 d6 d0 d3 d4 d2 d1 d5 d7 d14 d8 d11 d12 d10 d9 d13 d15 a17 a20 a18 a19 d[0..15] a[1..22] nrst nwe ncs0 nrd 3v3 3v3 tsop48 package c2 100nf c2 100nf c1 100nf c1 100nf at49bv6416 u1 at49bv6416 u1 a0 25 a1 24 a2 23 a3 22 a4 21 a5 20 a6 19 a7 18 a8 8 a9 7 a10 6 a11 5 a12 4 a13 3 a14 2 a15 1 a16 48 a17 17 a18 16 a21 9 a20 10 a19 15 we 11 reset 12 wp 14 oe 28 ce 26 vpp 13 dq0 29 dq1 31 dq2 33 dq3 35 dq4 38 dq5 40 dq6 42 dq7 44 dq8 30 dq9 32 dq10 34 dq11 36 dq12 39 dq13 41 dq14 43 dq15 45 vccq 47 vss 27 vss 46 vcc 37
159 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.6 compact flash 21.7.6.1 hardware configuration d15 d14 d13 d12 d10 d11 d9 d8 d7 d6 d5 d4 d2 d1 d0 d3 a10 a9 a8 a7 a3 a4 a5 a6 a0 a2 a1 cd1 cd2 cd2 cd1 we oe iowr iord ce2 ce1 reg wait# reset cf_d3 cf_d2 cf_d1 cf_d0 cf_d7 cf_d6 cf_d5 cf_d4 cf_d11 cf_d10 cf_d9 cf_d8 cf_d15 cf_d14 cf_d13 cf_d12 cf_a10 cf_a9 cf_a8 cf_a7 cf_a6 cf_a5 cf_a4 cf_a3 cf_a2 cf_a1 cf_a0 reg we oe iowr iord cf_a10 cf_a9 cf_a8 cf_a7 cf_a6 cf_a5 cf_a4 cf_a3 cf_a2 cf_a1 cf_a0 cf_d4 cf_d13 cf_d15 cf_d14 cf_d12 cf_d11 cf_d10 cf_d9 cf_d8 cf_d7 cf_d6 cf_d5 cf_d3 cf_d2 cf_d1 cf_d0 ce2 ce1 reset rdy/bsy rdy/bsy wait# cfwe (any pio) a25/cfrnw d[0..15] a[0..10] cfcsx a22/reg cfoe cfiow cfior nwait (any pio) cfce2 cfce1 (any pio) 3v3 3v3 3v3 3v3 3v3 3v3
  cfirq cfrst memory & i/o mode (cfcs0 or cfcs1) mn2a sn74alvc32 mn2a sn74alvc32 3 1 2 c2 100nf c2 100nf mn1d 74alvch32245 mn1d 74alvch32245 4dir t3 4oe t4 4a1 n5 4a2 n6 4a3 p5 4a4 p6 4a5 r5 4a6 r6 4a7 t6 4a8 t5 4b1 n2 4b2 n1 4b3 p2 4b4 p1 4b5 r2 4b6 r1 4b7 t1 4b8 t2 mn1c 74alvch32245 mn1c 74alvch32245 3dir j3 3oe j4 3a1 j5 3a2 j6 3a3 k5 3a4 k6 3a5 l5 3a6 l6 3a7 m5 3a8 m6 3b1 j2 3b2 j1 3b3 k2 3b4 k1 3b5 l2 3b6 l1 3b7 m2 3b8 m1 r2 47k r2 47k mn3b sn74alvc125 mn3b sn74alvc125 6 4 5 r1 47k r1 47k mn1b 74alvch32245 mn1b 74alvch32245 2dir h3 2oe h4 2a1 e5 2a2 e6 2a3 f5 2a4 f6 2a5 g5 2a6 g6 2a7 h5 2a8 h6 2b1 e2 2b2 e1 2b3 f2 2b4 f1 2b5 g2 2b6 g1 2b7 h2 2b8 h1 vcc gnd mn4 sn74lvc1g125-q1 vcc gnd mn4 sn74lvc1g125-q1 5 1 2 3 4 mn3a sn74alvc125 mn3a sn74alvc125 3 1 2 r3 10k r3 10k mn2b sn74alvc32 mn2b sn74alvc32 6 4 5 mn3c sn74alvc125 mn3c sn74alvc125 8 9 10 r4 10k r4 10k c1 100nf c1 100nf j1 n7e50-7516vy-20 j1 n7e50-7516vy-20 gnd 1 d3 2 d4 3 d5 4 d6 5 d7 6 ce1# 7 a10 8 oe# 9 a9 10 a8 11 a7 12 vcc 13 a6 14 a5 15 a4 16 a3 17 a2 18 a1 19 a0 20 d0 21 d1 22 d2 23 wp 24 cd2# 25 cd1# 26 d11 27 d12 28 d13 29 d14 30 d15 31 ce2# 32 vs1# 33 iord# 34 iowr# 35 we# 36 rdy/bsy 37 vcc 38 csel# 39 vs2# 40 reset 41 wait# 42 inpack# 43 reg# 44 bvd2 45 bvd1 46 d8 47 d9 48 d10 49 gnd 50 mn1a 74alvch32245 mn1a 74alvch32245 1a1 a5 1a2 a6 1a3 b5 1a4 b6 1a5 c5 1a6 c6 1a7 d5 1a8 d6 1dir a3 1oe a4 1b1 a2 1b2 a1 1b3 b2 1b4 b1 1b5 c2 1b6 c1 1b7 d2 1b8 d1 mn3d sn74alvc125 mn3d sn74alvc125 11 12 13
160 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.6.2 software configuration the following configuration has to be performed: ? assign the ebi cs4 and/or ebi_cs5 to the compactflash slot 0 or/and slot 1 by setting the bit ebi_cs4a or/and ebi_ cs5a in the ebi chip select assignment register located in the bus matrix memory space. ? the address line a23 is to select i/o (a23=1) or memory mode (a23=0) and the address line a22 for reg function. ? a23, cfrnw, cfs0, cfcs1, cfce1 and cfce2 signals are multiplexed with pio lines and thus the dedicated pios must be programmed in peripheral mode in the pio controller. ? configure a pio line as an output for cfrst and two others as an input for cfirq and card detect functions respectively. ? configure smc cs4 and/or smc_cs5 (for slot 0 or 1) setup, pulse, cycle and mode accordingly to compact flash timings and system bus frequency.
161 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.7 compact flash true ide 21.7.7.1 hardware configuration d15 d14 d13 d12 d10 d11 d9 d8 d7 d6 d5 d4 d2 d1 d0 d3 a10 a9 a8 a7 a3 a4 a5 a6 a0 a2 a1 cd1 cd2 cf_d3 cf_d2 cf_d1 cf_d0 cf_d7 cf_d6 cf_d5 cf_d4 cf_d11 cf_d10 cf_d9 cf_d8 cf_d15 cf_d14 cf_d13 cf_d12 reset# cf_a10 cf_a9 cf_a8 cf_a7 cf_a6 cf_a5 cf_a4 cf_a3 cf_a2 cf_a1 cf_a0 cd2 cd1 iowr iord ce2 ce1 reg we oe iowr iord iordy cf_a0 cf_a2 cf_a1 cf_d4 cf_d13 cf_d15 cf_d14 cf_d12 cf_d11 cf_d10 cf_d9 cf_d8 cf_d7 cf_d6 cf_d5 cf_d3 cf_d2 cf_d1 cf_d0 ce2 ce1 reset# intrq iordy intrq cfwe (any pio) a25/cfrnw d[0..15] a[0..10] cfcsx a22/reg cfoe cfiow cfior nwait (any pio) cfce2 cfce1 (any pio) 3v3 3v3 3v3 3v3 3v3 3v3 3v3
  cfirq cfrst true ide mode (cfcs0 or cfcs1) c2 100nf c2 100nf mn1d 74alvch32245 mn1d 74alvch32245 4dir t3 4oe t4 4a1 n5 4a2 n6 4a3 p5 4a4 p6 4a5 r5 4a6 r6 4a7 t6 4a8 t5 4b1 n2 4b2 n1 4b3 p2 4b4 p1 4b5 r2 4b6 r1 4b7 t1 4b8 t2 vcc gnd mn4 sn74lvc1g125-q1 vcc gnd mn4 sn74lvc1g125-q1 5 1 2 3 4 mn3c sn74alvc125 mn3c sn74alvc125 8 9 10 r4 10k r4 10k mn1c 74alvch32245 mn1c 74alvch32245 3dir j3 3oe j4 3a1 j5 3a2 j6 3a3 k5 3a4 k6 3a5 l5 3a6 l6 3a7 m5 3a8 m6 3b1 j2 3b2 j1 3b3 k2 3b4 k1 3b5 l2 3b6 l1 3b7 m2 3b8 m1 r3 10k r3 10k j1 n7e50-7516vy-20 j1 n7e50-7516vy-20 gnd 1 d3 2 d4 3 d5 4 d6 5 d7 6 cs0# 7 a10 8 ata sel# 9 a9 10 a8 11 a7 12 vcc 13 a6 14 a5 15 a4 16 a3 17 a2 18 a1 19 a0 20 d0 21 d1 22 d2 23 iois16# 24 cd2# 25 cd1# 26 d11 27 d12 28 d13 29 d14 30 d15 31 cs1# 32 vs1# 33 iord# 34 iowr# 35 we# 36 intrq 37 vcc 38 csel# 39 vs2# 40 reset# 41 iordy 42 inpack# 43 reg# 44 dasp# 45 pdiag# 46 d8 47 d9 48 d10 49 gnd 50 mn1a 74alvch32245 mn1a 74alvch32245 1a1 a5 1a2 a6 1a3 b5 1a4 b6 1a5 c5 1a6 c6 1a7 d5 1a8 d6 1dir a3 1oe a4 1b1 a2 1b2 a1 1b3 b2 1b4 b1 1b5 c2 1b6 c1 1b7 d2 1b8 d1 mn1b 74alvch32245 mn1b 74alvch32245 2dir h3 2oe h4 2a1 e5 2a2 e6 2a3 f5 2a4 f6 2a5 g5 2a6 g6 2a7 h5 2a8 h6 2b1 e2 2b2 e1 2b3 f2 2b4 f1 2b5 g2 2b6 g1 2b7 h2 2b8 h1 mn2a sn74alvc32 mn2a sn74alvc32 3 1 2 c1 100nf c1 100nf r2 47k r2 47k r1 47k r1 47k mn3b sn74alvc125 mn3b sn74alvc125 6 4 5 mn3d sn74alvc125 mn3d sn74alvc125 11 12 13 mn2b sn74alvc32 mn2b sn74alvc32 6 4 5 mn3a sn74alvc125 mn3a sn74alvc125 3 1 2
162 6289d?atarm?3-oct-11 at91sam9r64/rl64 21.7.7.2 software configuration the following configuration has to be performed: ? assign the ebi cs4 and/or ebi_cs5 to the compactflash slot 0 or/and slot 1 by setting the bit ebi_cs4a or/and ebi_ cs5a in the ebi chip select assignment register located in the bus matrix memory space. ? the address line a21 is to select alternate true ide (a21=1) or true ide (a21=0) modes. ? cfrnw, cfs0, cfcs1, cfce1 and cfce2 signals are multiplexed with pio lines and thus the dedicated pios must be programmed in peripheral mode in the pio controller. ? configure a pio line as an output for cfrst and two others as an input for cfirq and card detect functions respectively. ? configure smc cs4 and/or smc_cs5 (for slot 0 or 1) setup, pulse, cycle and mode accordingly to compact flash timings and system bus frequency.
163 6289d?atarm?3-oct-11 at91sam9r64/rl64 22. static memory controller (smc) 22.1 description the static memory controller (smc) generates the signals that control the access to the exter- nal memory devices or peripheral devices. it has 6 chip selects and a 26-bit address bus. the 32-bit data bus can be configured to interface with 8-, 16-, or 32-bit external devices. separate read and write control signals allow for direct memory and peripheral interfacing. read and write signal waveforms are fully parametrizable. the smc can manage wait requests from external devices to extend the current access. the smc is provided with an automatic slow clock mode. in slow clock mode, it switches from user- programmed waveforms to slow-rate specific waveforms on read and write signals. the smc supports asynchronous burst read in page mode access for page size up to 32 bytes. 22.2 i/o lines description 22.3 multiplexed signals table 22-1. i/o line description name description type active level ncs[7:0] static memory controller chip select lines output low nrd read signal output low nwr0/nwe write 0/write enable signal output low a0/nbs0 address bit 0/byte 0 select signal output low nwr1/nbs1 write 1/byte 1 select signal output low a1/nwr2/nbs2 address bit 1/write 2/byte 2 select signal output low nwr3/nbs3 write 3/byte 3 select signal output low a[25:2] address bus output d[31:0] data bus i/o nwait external wait signal input low table 22-2. static memory controller (smc) multiplexed signals multiplexed signal s related function nwr0 nwe byte-write or byte-select access, see ?byte write or byte select access? on page 165 a0 nbs0 8-bit or 16-/32-bit data bus, see ?data bus width? on page 165 nwr1 nbs1 byte-write or byte-select access see ?byte write or byte sele ct access? on page 165 a1 nwr2 nbs2 8-/16-bit or 32-bit data bus, see ?data bus width? on page 165 . byte-write or byte-select access, see ?byte write or byte select access? on page 165 nwr3 nbs3 byte-write or byte-select access see ?byte write or byte sele ct access? on page 165
164 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.4 application example 22.4.1 hardware interface figure 22-1. smc connections to st atic memory devices 22.5 product dependencies 22.5.1 i/o lines the pins used for interfacing the static memory controller may be multiplexed with the pio lines. the programmer must first program the pio controller to assign the static memory con- troller pins to their peripheral function. if i/o lines of the smc are not used by the application, they can be used for other purposes by the pio controller. static memory controller d0-d31 a2 - a25 a0/nbs0 nwr0/nwe nwr1/nbs1 a1/nwr2/nbs2 nwr3/nbs3 128k x 8 sram d0 - d7 a0 - a16 oe we cs d0 - d7 d8-d15 a2 - a18 128k x 8 sram d0-d7 cs d16 - d23 d24-d31 128k x 8 sram d0-d7 cs nwr1/nbs1 nwr3/nbs3 nrd nwr0/nwe 128k x 8 sram d0 - d7 oe we cs nrd a1/nwr2/nbs2 ncs0 ncs1 ncs2 ncs3 ncs4 ncs5 ncs6 ncs7 a2 - a18 a0 - a16 nrd oe we oe we nrd a2 - a18 a0 - a16 a2 - a18 a0 - a16
165 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.6 external memory mapping the smc provides up to 26 address lines, a[25:0]. this allows each chip select line to address up to 64 mbytes of memory. if the physical memory device co nnected on one chip select is smaller than 64 mbytes, it wraps around and appears to be repeated within this space. the smc correctly handles any valid access to the memory devi ce within the page (see figure 22-2 ). a[25:0] is only significant for 8-bit memory, a[25:1 ] is used for 16-bit memory, a[25:2] is used for 32-bit memory. figure 22-2. memory connections for eight external devices 22.7 connection to external devices 22.7.1 data bus width a data bus width of 8, 16, or 32 bits can be selected for each chip select. this option is con- trolled by the field dbw in smc_mode (mode register) for the corresponding chip select. figure 22-3 shows how to connect a 512k x 8-bit memory on ncs2. figure 22-4 shows how to connect a 512k x 16-bit memory on ncs2. figure 22-5 shows two 16-bit memories connected as a single 32-bit memory 22.7.2 byte write or byte select access each chip select with a 16-bit or 32-bit data bus can operate with one of two different types of write access: byte write or byte select access . this is controlled by the bat field of the smc_mode register for the corresponding chip select. nrd nwe a[25:0] d[31:0] 8 or 16 or 32 memory enable memory enable memory enable memory enable memory enable memory enable memory enable memory enable output enable write enable a[25:0] d[31:0] or d[15:0] or d[7:0] ncs3 ncs0 ncs1 ncs2 ncs7 ncs4 ncs5 ncs6 ncs[0] - ncs[7] smc
166 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-3. memory connection for an 8-bit data bus figure 22-4. memory connection for a 16-bit data bus figure 22-5. memory connection for a 32-bit data bus smc a0 nwe nrd ncs[2] a0 write enable output enable memory enable d[7:0] d[7:0] a[18:2] a[18:2] a1 a1 smc nbs0 nwe nrd ncs[2] low byte enable write enable output enable memory enable nbs1 high byte enable d[15:0] d[15:0] a[19:2] a[18:1] a[0] a1 d[31:16] smc nbs0 nwe nrd ncs[2] nbs1 d[15:0] a[20:2] d[31:16] nbs2 nbs3 byte 0 enable write enable output enable memory enable byte 1 enable d[15:0] a[18:0] byte 2 enable byte 3 enable
167 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.7.2.1 byte write access byte write access supports one byte write signal per byte of the data bus and a single read signal. note that the smc does not allow boot in byte write access mode. ? for 16-bit devices: the smc provides nwr0 and nwr1 write signals for respectively byte0 (lower byte) and byte1 (upper byte) of a 16-bit bus. one single read signal (nrd) is provided. byte write access is used to connect 2 x 8-bit devices as a 16-bit memory. ? for 32-bit devices: nwr0, nwr1, nwr2 and nwr3, are the write signals of byte0 (lower byte), byte1, byte2 and byte 3 (upper byte) respectively. one single read signal (nrd) is provided. byte write access is used to connect 4 x 8-bit devices as a 32-bit memory. byte write option is illustrated on figure 22-6 . 22.7.2.2 byte select access in this mode, read/write operations can be enabled/disabled at a byte level. one byte-select line per byte of the data bus is provided. one nrd and one nwe signal control read and write. ? for 16-bit devices: the smc provides nbs0 and nbs1 selection signals for respectively byte0 (lower byte) and byte1 (upper byte) of a 16-bit bus. byte select access is used to connect one 16-bit device. ? for 32-bit devices: nbs0, nbs1, nbs2 and nbs3, are the selection signals of byte0 (lower byte), byte1, byte2 and byte 3 (upper byte) respectively. byte select access is used to connect two 16-bit devices. figure 22-7 shows how to connect two 16-bit devices on a 32-bit data bus in byte select access mode, on ncs3 (bat = byte select access).
168 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-6. connection of 2 x 8-bit devices on a 16-bit bus: byte write option 22.7.2.3 signal multiplexing depending on the bat, only the write signals or the byte select signals are used. to save ios at the external bus interface, control signals at the smc interface are multiplexed. table 22-3 shows signal multiplexing depending on the data bus width and the byte access type. for 32-bit devices, bits a0 and a1 are unused. for 16-bit devices, bit a0 of address is unused. when byte select option is selected, nwr1 to nwr3 are unused. when byte write option is selected, nbs0 to nbs3 are unused. smc a1 nwr0 nrd ncs[3] write enable read enable memory enable nwr1 write enable read enable memory enable d[7:0] d[7:0] d[15:8] d[15:8] a[24:2] a[23:1] a[23:1] a[0] a[0]
169 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-7. connection of 2x16-bit data bus on a 32-bit data bus (byte select option) 22.8 standard read and write protocols in the following sections, the byte access type is not considered. byte select lines (nbs0 to nbs3) always have the same timing as the a ad dress bus. nwe represents either the nwe sig- nal in byte select access type or one of the byte write lines (nwr0 to nwr3) in byte write access type. nwr0 to nwr3 have the same ti mings and protocol as nwe. in the same way, ncs represents one of the ncs[0..5] chip select lines. smc nwe nrd ncs[3] write enable read enable memory enable nbs0 d[15:0] d[15:0] d[31:16] a[25:2] a[23:0] write enable read enable memory enable d[31:16] a[23:0] low byte enable high byte enable low byte enable high byte enable nbs1 nbs2 nbs3 table 22-3. smc multiplexed signal translation signal name 32-bit bus 16-bit bus 8-bit bus device type 1x32-bit 2x16-bit 4 x 8- bit 1x16-bit 2 x 8-bit 1 x 8-bit byte access type (bat) byte select byte select byte write byte select byte write nbs0_a0 nbs0 nbs0 nbs0 a0 nwe_nwr0 nwe nwe nwr0 nwe nwr0 nwe nbs1_nwr1 nbs1 nbs1 nwr1 nbs1 nwr1 nbs2_nwr2_a1 nbs2 nbs2 nwr2 a1 a1 a1 nbs3_nwr3 nbs3 nbs3 nwr3
170 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.1 read waveforms the read cycle is shown on figure 22-8 . the read cycle starts with the address setting on the memory address bus, i.e.: {a[25:2], a1, a0} for 8-bit devices {a[25:2], a1} for 16-bit devices a[25:2] for 32-bit devices. figure 22-8. standard read cycle 22.8.1.1 nrd waveform the nrd signal is characterized by a setu p timing, a pulse width and a hold timing. 1. nrd_setup: the nrd setup time is defined as the setup of address before the nrd falling edge; 2. nrd_pulse: the nrd pulse length is the time between nrd falling edge and nrd rising edge; 3. nrd_hold: the nrd hold time is defined as the hold time of a ddress after the nrd rising edge. a[25:2] nbs0,nbs1, nbs2,nbs3, a0, a1 ncs nrd_setup nrd_pulse nrd_hold mck nrd d[31:0] ncs_rd_setup ncs_rd_pulse ncs_rd_hold nrd_cycle
171 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.1.2 ncs waveform similarly, the ncs signal can be divided into a setup time, pulse length and hold time: 1. ncs_rd_setup: the ncs setup time is defined as the setup time of address before the ncs falling edge. 2. ncs_rd_pulse: the ncs pulse length is the time between ncs falling edge and ncs rising edge; 3. ncs_rd_hold: the ncs hold time is defined as the hold time of address after the ncs rising edge. 22.8.1.3 read cycle the nrd_cycle time is defined as the total duration of the read cycle, i.e., from the time where address is set on the address bus to the point where address may change. the total read cycle time is equal to: nrd_cycle = nrd_setup + nrd_pulse + nrd_hold = ncs_rd_setup + ncs_rd_pulse + ncs_rd_hold all nrd and ncs timings are defined separately for each chip select as an integer number of master clock cycles. to ensure that the nrd and ncs timings are coherent, user must define the total read cycle instead of the hold timing. nrd_cycle implicitly defines the nrd hold time and ncs hold time as: nrd_hold = nrd_cycle - nrd setup - nrd pulse ncs_rd_hold = nrd_cycle - ncs_rd_setup - ncs_rd_pulse 22.8.1.4 null delay setup and hold if null setup and hold parame ters are programmed for nrd and/or ncs, nrd and ncs remain active continuously in case of consecutive read cycles in the same memory (see figure 22-9 ).
172 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-9. no setup, no hold on nrd and ncs read signals 22.8.1.5 null pulse programming null pulse is not permitted. pulse must be at least set to 1. a null value leads to unpredictable behavior. 22.8.2 read mode as ncs and nrd waveforms are defined independently of one other, the smc needs to know when the read data is available on the data bus. the smc does not compare ncs and nrd tim- ings to know which signal rises first. the r ead_mode parameter in the smc_mode register of the corresponding chip select indicates wh ich signal of nrd and ncs controls the read operation. 22.8.2.1 read is controlled by nrd (read_mode = 1): figure 22-10 shows the waveforms of a read operation of a typical asynchronous ram. the read data is available t pacc after the falling edge of nrd, and turn s to ?z? after the rising edge of nrd. in this case, the read_mode must be set to 1 (read is controlled by nrd), to indicate that data is available with the rising edge of nrd. the smc samples the read data internally on the rising edge of master clock that generates the rising edge of nrd, whatever the pro- grammed waveform of ncs may be. mck nrd_pulse ncs_rd_pulse nrd_cycle nrd_pulse nrd_pulse ncs_rd_pulse ncs_rd_pulse nrd_cycle nrd_cycle a[25:2] nbs0,nbs1, nbs2,nbs3, a0, a1 ncs nrd d[31:0]
173 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-10. read_mode = 1: data is sampled by smc before the rising edge of nrd 22.8.2.2 read is controlled by ncs (read_mode = 0) figure 22-11 shows the typical read cycle of an lcd module. the read data is valid t pacc after the falling edge of the ncs signal and remains va lid until the rising edge of ncs. data must be sampled when ncs is raised. in that case, the read_mode must be set to 0 (read is controlled by ncs): the smc internally samples the data on the rising edge of master clock that generates the rising edge of ncs, whatever the programmed waveform of nrd may be. figure 22-11. read_mode = 0: data is sampled by smc before the rising edge of ncs data sampling t pacc mck a[25:2] nbs0,nbs1, nbs2,nbs3, a0, a1 ncs nrd d[31:0] data sampling t pacc mck d[31:0] a[25:2] nbs0,nbs1, nbs2,nbs3, a0, a1 ncs nrd
174 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.3 write waveforms the write protocol is similar to the read protocol. it is depicted in figure 22-12 . the write cycle starts with the address setting on the memory address bus. 22.8.3.1 nwe waveforms the nwe signal is characterized by a setu p timing, a pulse width and a hold timing. 1. nwe_setup: the nwe setup time is defined as the setup of address and data before the nwe falling edge; 2. nwe_pulse: the nwe pulse length is the time between nwe falling edge and nwe rising edge; 3. nwe_hold: the nwe hold time is defined as the hold time of address and data after the nwe rising edge. the nwe waveforms apply to all byte-write lines in byte write access mode: nwr0 to nwr3. 22.8.3.2 ncs waveforms the ncs signal waveforms in write operation are not the same that those applied in read opera- tions, but are separately defined: 1. ncs_wr_setup: the ncs setup time is defined as the setup time of address before the ncs falling edge. 2. ncs_wr_pulse: the ncs pulse length is the time between ncs falling edge and ncs rising edge; 3. ncs_wr_hold: the ncs hold time is defined as the hold time of address after the ncs rising edge. figure 22-12. write cycle a [25:2] nbs0, nbs1, nbs2, nbs3, a0, a1 ncs nwe_setup nwe_pulse nwe_hold mck nwe ncs_wr_setup ncs_wr_pulse ncs_wr_hold nwe_cycle
175 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.3.3 write cycle the write_cycle time is defined as the total durat ion of the write cycle, that is, from the time where address is set on the address bus to the point where address may change. the total write cycle time is equal to: nwe_cycle = nwe_setup + nwe_pulse + nwe_hold = ncs_wr_setup + ncs_wr_pulse + ncs_wr_hold all nwe and ncs (write) timings are defined separately for each chip select as an integer num- ber of master clock cycles. to ensure that the nwe and ncs timings are coherent, the user must define the total wr ite cycle instead of the hold timing. this implicitly defines the nwe hold time and ncs (write) hold times as: nwe_hold = nwe_cycle - nwe_setup - nwe_pulse ncs_wr_hold = nwe_cycle - ncs_wr_setup - ncs_wr_pulse 22.8.3.4 null delay setup and hold if null setup parameters are programmed for nwe and/or ncs, nwe and/or ncs remain active continuously in case of consecutive write cycles in the same memory (see figure 22-13 ). how- ever, for devices that perform write operations on the rising edge of nwe or ncs, such as sram, either a setup or a hold must be programmed. figure 22-13. null setup and hold values of ncs and nwe in write cycle 22.8.3.5 null pulse programming null pulse is not permitted. pulse must be at least set to 1. a null value leads to unpredictable behavior. ncs mck nwe, nwr0, nwr1, nwr2, nwr3 d[31:0] nwe_pulse ncs_wr_pulse nwe_cycle nwe_pulse ncs_wr_pulse nwe_cycle nwe_pulse ncs_wr_pulse nwe_cycle a [25:2] nbs0, nbs1, nbs2, nbs3, a0, a1
176 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.4 write mode the write_mode parameter in th e smc_mode register of the corresponding chip select indi- cates which signal controls the write operation. 22.8.4.1 write is controlled by nwe (write_mode = 1): figure 22-14 shows the waveforms of a write operation with write_mode set to 1. the data is put on the bus during the pulse and hold steps of the nwe signal. the internal data buffers are turned out after the nwe_setup time, and until the end of the write cycle, regardless of the programmed waveform on ncs. figure 22-14. write_mode = 1. the write ope ration is controlled by nwe 22.8.4.2 write is controlle d by ncs (write_mode = 0) figure 22-15 shows the waveforms of a write operation with write_mode set to 0. the data is put on the bus during the pulse and hold steps of the ncs signal. the internal data buffers are turned out after the ncs_wr_setup time, and until the end of the write cycle, regardless of the programmed waveform on nwe. mck d[31:0] ncs a [25:2] nbs0, nbs1, nbs2, nbs3, a0, a1 nwe, nwr0, nwr1, nwr2, nwr3
177 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-15. write_mode = 0. the write ope ration is controlled by ncs 22.8.5 coding timing parameters all timing parameters are defined for one chip select and are grouped together in one smc_register according to their type. the smc_setup register groups the definition of all setup parameters: ? nrd_setup, ncs_rd_setup, nwe_setup, ncs_wr_setup the smc_pulse register groups the definition of all pulse parameters: ? nrd_pulse, ncs_rd_pulse, nwe_pulse, ncs_wr_pulse the smc_cycle register groups the definition of all cycle parameters: ? nrd_cycle, nwe_cycle table 22-4 shows how the timing parameters are coded and their permitted range. mck d[31:0] ncs nwe, nwr0, nwr1, nwr2, nwr3 a [25:2] nbs0, nbs1, nbs2, nbs3, a0, a1 table 22-4. coding and range of timing parameters coded value number of bits effective value permitted range coded value effective value setup [5:0] 6 128 x setup[5] + setup[4:0] 0 31 128 128+31 pulse [6:0] 7 256 x pulse[6] + pulse[5:0] 0 63 256 256+63 cycle [8:0] 9 256 x cycle[8:7] + cycle[6:0] 0 127 256 256+127 512 512+127 768 768+127
178 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.8.6 reset values of timing parameters table 22-5 gives the default value of timing parameters at reset. 22.8.7 usage restriction the smc does not check the validity of the user-programmed parameters. if the sum of setup and pulse parameters is larger than the corresponding cycle parameter, this leads to unpre- dictable behavior of the smc. for read operations: null but positive setup and hold of address and nrd and/or ncs can not be guaranteed at the memory interface because of the propagation dela y of theses signals through external logic and pads. if positive setup and hold values must be verified, then it is strictly recommended to pro- gram non-null values so as to cover possible skews between address, ncs and nrd signals. for write operations: if a null hold value is programmed on nwe, the smc can guarantee a positive hold of address, byte select lines, and ncs signal after the rising edge of nwe. this is true for write_mode = 1 only. see ?early read wait state? on page 179 . for read and write operations: a null value for pulse parameters is forbidden and may lead to unpredictable behavior. in read and write cycles, the setup and hold time parameters are defined in reference to the address bus. for external devices that require setup and hold time between ncs and nrd sig- nals (read), or between ncs and nwe signals (write), these setup and hold times must be converted into setup and hold times in reference to the address bus. 22.9 automatic wait states under certain circumstances, the smc automatica lly inserts idle cycles between accesses to avoid bus contention or operation conflict. 22.9.1 chip select wait states the smc always inserts an idle cycle between 2 transfers on separate chip selects. this idle cycle ensures that there is no bus contention between the de-activation of one device and the activation of the next one. during chip select wait state, all control li nes are turned inactive: nbs0 to nbs3, nwr0 to nwr3, ncs[0..5], nrd lines are all set to 1. figure 22-16 illustrates a chip select wait state between access on chip select 0 and chip select 2. table 22-5. reset values of timing parameters register reset value smc_setup 0x00000000 all setup timings are set to 1 smc_pulse 0x01010101 all pulse timings are set to 1 smc_cycle 0x00030003 the read and write operation last 3 master clock cycles and provide one hold cycle write_mode 1 write is controlled with nwe read_mode 1 read is controlled with nrd
179 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-16. chip select wait state between a read access on ncs0 and a write access on ncs2 22.9.2 early read wait state in some cases, the smc inserts a wait state cycle between a write access and a read access to allow time for the write cycle to end before the subsequent read cycle begins. this wait state is not generated in addition to a chip select wait state. the early read cycle thus only occurs between a write and read access to the same memory device (same chip select). an early read wait state is automatically inserted if at least one of the following conditions is valid: ? if the write controlling signal has no hold time and the read controlling signal has no setup time ( figure 22-17 ). ? in ncs write controlled mode (write_mode = 0), if there is no hold timing on the ncs signal and the ncs_rd_setup parameter is set to 0, regardless of the read mode ( figure 22-18 ). the write operation must end with a ncs rising edge. without an early read wait state, the write operation could not complete properly. ? in nwe controlled mode (write_mode = 1) and if there is no hold timing (nwe_hold = 0), the feedback of the write control signal is used to control address, data, chip select and byte select lines. if the external write control signal is not inactivated as expected due to load capacitances, an early read wait state is inserted and address, data and control signals are maintained one more cycle. see figure 22-19 . a[25:2] nbs0, nbs1, nbs2, nbs3, a0,a1 ncs0 nrd_cycle chip select wait state nwe_cycle mck ncs2 nrd nwe d[31:0] read to write wait state
180 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-17. early read wait state: write with no hold followe d by read with no setup figure 22-18. early read wait state: ncs cont rolled write with no hold followed by a read with no ncs setup write cycle early read wait state mck nrd nwe read cycle no setup no hold d[31:0] nbs0, nbs1, nbs2, nbs3, a0, a1 a[25:2] write cycle (write_mode = 0) early read wait state mck nrd ncs read cycle (read_mode = 0 or read_mode = 1) no setup no hold d[31:0] nbs0, nbs1, nbs2, nbs3, a0,a1 a[25:2]
181 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-19. early read wait state: nwe-controlled write with no hold followed by a read with one set-up cycle 22.9.3 reload user configuration wait state the user may change any of the configuration parameters by writing the smc user interface. when detecting that a new user configuration has been written in the user interface, the smc inserts a wait state before starting the next access. the so called ?reload user configuration wait state? is used by the smc to load the new set of parameters to apply to next accesses. the reload configuration wait state is not applied in addition to the chip select wait state. if accesses before and after re-programming the user interface are made to different devices (chip selects), then one single chip select wait state is applied. on the other hand, if accesses before and after writing the user interface are made to the same device, a reload configuration wait state is inserted, even if the change does not concern the current chip select. 22.9.3.1 user procedure to insert a reload configuration wait state, the smc detects a write access to any smc_mode register of the user interface. if the user only modifies timing registers (smc_setup, smc_pulse, smc_cycle registers) in the user interface, he must validate the modification by writing the smc_mode, even if no change was made on the mode parameters. 22.9.3.2 slow clock mode transition a reload configuration wait state is also inserted when the slow clock mode is entered or exited, after the end of the current transfer (see ?slow clock mode? on page 193 ). a [25:2] nbs0, nbs1, nbs2, nbs3, a0, a1 write cycle (write_mode = 1) early read wait state mck nrd internal write controlling signal external write controlling signal (nwe) d[31:0] read cycle (read_mode = 0 or read_mode = 1) no hold read setup = 1
182 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.9.4 read to write wait state due to an internal mechanism, a wait cycle is always inserted between consecutive read and write smc accesses. this wait cycle is referred to as a read to write wait stat e in this document. this wait cycle is applied in add ition to chip select and reload user configuration wait states when they are to be inserted. see figure 22-16 on page 179 .
183 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.10 data float wait states some memory devices are slow to release the exte rnal bus. for such devices, it is necessary to add wait states (data float wait states) after a read access: ? before starting a read access to a different external memory ? before starting a write access to the same device or to a different external one. the data float output time (t df ) for each external memory device is programmed in the tdf_cycles field of the smc_mode register for the corresponding chip select. the value of tdf_cycles indicates the number of data float wait cycles (between 0 and 15) before the external device releases the bus, and represents the time allowed for the data output to go to high impedance after the memory is disabled. data float wait states do not delay internal memory accesses. hence, a single access to an external memory with long t df will not slow down the executio n of a program from internal memory. the data float wait states management depends on the read_mode and the tdf_mode fields of the smc_mode register for the corresponding chip select. 22.10.1 read_mode setting the read_mode to 1 indicates to the smc that the nrd signal is responsible for turn- ing off the tri-state buffers of the external memory device. the data float period then begins after the rising edge of the nrd sign al and lasts tdf_cycles mck cycles. when the read operation is controlled by the ncs signal (read_mode = 0), the tdf field gives the number of mck cycles during which the data bus remains busy after the rising edge of ncs. figure 22-20 illustrates the data float period in nrd-controlled mode (read_mode =1), assuming a data float period of 2 cycles (tdf_cycles = 2). figure 22-21 shows the read oper- ation when controlled by ncs (read_mode = 0) and the tdf_cycles parameter equals 3.
184 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-20. tdf period in nrd controlled read access (tdf = 2) figure 22-21. tdf period in ncs controlled read operation (tdf = 3) nbs0, nbs1, nbs2, nbs3, a0, a1 ncs nrd controlled read operation tpacc mck nrd d[31:0] tdf = 2 clock cycles a[25:2] ncs tdf = 3 clock cycles tpacc mck d[31:0] ncs controlled read operation a[25:2] nbs0, nbs1, nbs2, nbs3, a0,a1 nrd
185 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.10.2 tdf optimization enabled (tdf_mode = 1) when the tdf_mode of the smc_mode register is set to 1 (tdf optimization is enabled), the smc takes advantage of the setup period of the next access to optimize the number of wait states cycle to insert. figure 22-22 shows a read access controlled by nrd, followed by a write access controlled by nwe, on chip select 0. chip se lect 0 has been programmed with: nrd_hold = 4; read_mode = 1 (nrd controlled) nwe_setup = 3; write_mode = 1 (nwe controlled) tdf_cycles = 6; tdf_mode = 1 (optimization enabled). figure 22-22. tdf optimization: no tdf wait states are inserted if the tdf period is over when the next access begins 22.10.3 tdf optimization disabled (tdf_mode = 0) when optimization is disabled, tdf wait states are inserted at the end of the read transfer, so that the data float period is ended when the second access begins. if the hold period of the read1 controlling signal overlaps the data float period, no additional tdf wait st ates will be inserted. figure 22-23 , figure 22-24 and figure 22-25 illustrate the cases: ? read access followed by a read access on another chip select, ? read access followed by a write access on another chip select, ? read access followed by a write access on the same chip select, with no tdf optimization. a [25:2] ncs0 mck nrd nwe d[31:0] read to write wait state tdf_cycles = 6 read access on ncs0 (nrd controlled) nrd_hold= 4 nwe_setup= 3 write access on ncs0 (nwe controlled)
186 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-23. tdf optimization disabled (tdf mode = 0). tdf wait states between 2 read accesses on different chip selects figure 22-24. tdf mode = 0: tdf wait states between a read and a write access on different chip selects tdf_cycles = 6 tdf_cycles = 6 tdf_mode = 0 (optimization disabled) a[ 25:2] read1 cycle chip select wait state mck read1 controlling signal (nrd) read2 controlling signal (nrd) d[31:0] read1 hold = 1 read 2 cycle read2 setup = 1 5 tdf wait states nbs0, nbs1, nbs2, nbs3, a0, a1 tdf_cycles = 4 tdf_cycles = 4 tdf_mode = 0 (optimization disabled) a [25:2] read1 cycle chip select wait state read to write wait state mck read1 controlling signal (nrd) write2 controlling signal (nwe) d[31:0] read1 hold = 1 write2 cycle write2 setup = 1 2 tdf wait states nbs0, nbs1, nbs2, nbs3, a0, a1
187 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-25. tdf mode = 0: tdf wait states between read and write accesses on the same chip select 22.11 external wait any access can be extended by an external device using the nw ait input signal of the smc. the exnw_mode field of the smc_mode register on the corresponding chip select must be set to either to ?10? (frozen mode) or ?11? (ready mode). when the exnw_mode is set to ?00? (disabled), the nwait signal is simply ignored on the correspo nding chip select. the nwait signal delays the read or write operation in regards to the read or write controlling signal, depending on the read and write modes of the corresponding chip select. 22.11.1 restriction when one of the exnw_mode is enabled, it is mandatory to program at least one hold cycle for the read/write controlling signal. for that reason, the nwait signal cannot be used in page mode ( ?asynchronous page mode? on page 196 ), or in slow clock mode ( ?slow clock mode? on page 193 ). the nwait signal is assumed to be a response of the external device to the read/write request of the smc. then nwait is examined by the smc only in the pulse state of the read or write controlling signal. the assertion of the nwait signal outside th e expected period has no impact on smc behavior. tdf_cycles = 5 tdf_cycles = 5 tdf_mode = 0 (optimization disabled) a [25:2] read1 cycle read to write wait state mck read1 controlling signal (nrd) write2 controlling signal (nwe) d[31:0] read1 hold = 1 write2 cycle write2 setup = 1 4 tdf wait states nbs0, nbs1, nbs2, nbs3, a0, a1
188 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.11.2 frozen mode when the external device asserts the nwait signal (active low), and after internal synchroniza- tion of this signal, the smc state is frozen, i.e., smc internal counters are frozen, and all control signals remain unchanged. when the resynchronized nwait signal is deasserted, the smc completes the access, resuming the access from the point where it was stopped. see figure 22- 26 . this mode must be selected when the external device uses the nwait signal to delay the access and to freeze the smc. the assertion of the nwait sign al outside the expected period is ignored as illustrated in figure 22-27 . figure 22-26. write access with nwait assertion in frozen mode (exnw_mode = 10) exnw_mode = 10 (frozen) write_mode = 1 (nwe_controlled) nwe_pulse = 5 ncs_wr_pulse = 7 a [25:2] mck nwe ncs 432 1 110 1 4 5 63222210 write cycle d[31:0] nwait frozen state nbs0, nbs1, nbs2, nbs3, a0,a1 internally synchronized nwait signal
189 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-27. read access with nwait assertion in frozen mode (exnw_mode = 10) exnw_mode = 10 (frozen) read_mode = 0 (ncs_controlled) nrd_pulse = 2, nrd_hold = 6 ncs_rd_pulse =5, ncs_rd_hold =3 a [25:2] mck ncs nrd 10 43 43 2 555 22 0 210 210 1 read cycle assertion is ignored nwait internally synchronized nwait signal frozen state nbs0, nbs1, nbs2, nbs3, a0,a1
190 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.11.3 ready mode in ready mode (exnw_mode = 11), the smc behaves differently. normally, the smc begins the access by down counting the setup and pulse counters of the read/write controlling signal. in the last cycle of the pulse phase, the resynchronized nwait signal is examined. if asserted, the smc suspends the access as shown in figure 22-28 and figure 22-29 . after deassertion, the access is completed: the hold step of the access is performed. this mode must be selected when the external de vice uses deassertion of the nwait signal to indicate its ability to complete the read or write operation. if the nwait signal is deasserted before the end of the pulse, or asserted after the end of the pulse of the controlling read/write signal, it has no impact on the access length as shown in fig- ure 22-29 . figure 22-28. nwait assertion in write access: ready mode (exnw_mode = 11) exnw_mode = 11 (ready mode) write_mode = 1 (nwe_controlled) nwe_pulse = 5 ncs_wr_pulse = 7 a [25:2] mck nwe ncs 432 1 00 0 4 5 6321110 write cycle d[31:0] nwait internally synchronized nwait signal wait state nbs0, nbs1, nbs2, nbs3, a0,a1
191 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-29. nwait assertion in read access: ready mode (exnw_mode = 11) exnw_mode = 11(ready mode) read_mode = 0 (ncs_controlled) nrd_pulse = 7 ncs_rd_pulse =7 a[25:2] mck ncs nrd 4 5 63200 0 1 4 5 6321 1 read cycle assertion is ignored nwait internally synchronized nwait signal wait state assertion is ignored nbs0, nbs1, nbs2, nbs3, a0,a1
192 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.11.4 nwait latency and read/write timings there may be a latency between the assertion of the read/w rite controlling signal and the asser- tion of the nwait signal by the device. t he programmed pulse length of the read/write controlling signal must be at least equal to this latency plus the 2 cycles of resynchronization + 1 cycle. otherwise, the smc may enter the hold state of the access without detecting the nwait signal assertion. this is true in frozen mode as well as in ready mode. this is illustrated on fig- ure 22-30 . when exnw_mode is enabled (ready or frozen), th e user must program a pulse length of the read and write controllin g signal of at least: minimal pulse length = nwait latency + 2 resynchronization cycles + 1 cycle figure 22-30. nwait latency exnw_mode = 10 or 11 read_mode = 1 (nrd_controlled) nrd_pulse = 5 a [25:2] mck nrd 43 210 0 0 read cycle minimal pulse length nwait latency nwait intenally synchronized nwait signal wait state 2 cycle resynchronization nbs0, nbs1, nbs2, nbs3, a0,a1
193 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.12 slow clock mode the smc is able to automatically apply a set of ?slow clock mode? read/write waveforms when an internal signal driven by the power management controller is asserted because mck has been turned to a very slow clock rate (typically 32khz clock rate). in this mode, the user-pro- grammed waveforms are ignored and the slow clock mode waveforms are applied. this mode is provided so as to avoid reprogramming the user interface with appropriate waveforms at very slow clock rate. when activated, the sl ow mode is active on all chip selects. 22.12.1 slow clock mode waveforms figure 22-31 illustrates the read and write operations in slow clock mode. they are valid on all chip selects. table 22-6 indicates the value of read and write parameters in slow clock mode. figure 22-31. read/write cycles in slow clock mode a[ 25:2] ncs 1 mck nwe 1 1 nwe_cycle = 3 a [25:2] mck nrd nrd_cycle = 2 1 1 ncs slow clock mode write slow clock mode read nbs0, nbs1, nbs2, nbs3, a0,a1 nbs0, nbs1, nbs2, nbs3, a0,a1 table 22-6. read and write timing parameters in slow clock mode read parameters duration (cycles) write parameters duration (cycles) nrd_setup 1 nwe_setup 1 nrd_pulse 1 nwe_pulse 1 ncs_rd_setup 0 ncs_wr_setup 0 ncs_rd_pulse 2 ncs_wr_pulse 3 nrd_cycle 2 nwe_cycle 3
194 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.12.2 switching from (to) slow clock mode to (from) normal mode when switching from slow clock mode to the nor mal mode, the current slow clock mode transfer is completed at high clock rate, with the set of slow clock mode parameters.see figure 22-32 on page 194 . the external device may not be fast enough to support such timings. figure 22-33 illustrates the recommended procedure to properly switch from one mode to the other. figure 22-32. clock rate transition occurs while the smc is performing a write operation a [25:2] ncs 1 mck nwe 1 1 nwe_cycle = 3 slow clock mode write slow clock mode internal signal from pmc 11 1 2 3 2 nwe_cycle = 7 normal mode write slow clock mode transition is detected: reload configuration wait state this write cycle finishes with the slow clock mode set of parameters after the clock rate transition slow clock mode write nbs0, nbs1, nbs2, nbs3, a0,a1
195 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-33. recommended procedure to switch from slow clock mo de to normal mode or from normal mode to slow clock mode a [25:2] ncs 1 mck nwe 1 1 slow clock mode write slow clock mode internal signal from pmc 2 3 2 normal mode write idle state reload configuration wait state nbs0, nbs1, nbs2, nbs3, a0,a1
196 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.13 asynchronous page mode the smc supports asynchronous burst reads in page mode, providing that the page mode is enabled in the smc_mode register (pmen fiel d). the page size must be configured in the smc_mode register (ps field) to 4, 8, 16 or 32 bytes. the page defines a set of consecutive bytes into memory. a 4-byte page (resp. 8-, 16-, 32-byte page) is always aligned to 4-byte boundaries (resp. 8-, 16-, 32-byte boundaries) of memory. the msb of data address defines the address of the page in memory, the lsb of address define the address of the data in the page as detailed in table 22-7 . with page mode memory devices, the first access to one page (t pa ) takes longer than the subse- quent accesses to the page (t sa ) as shown in figure 22-34 . when in page mode, the smc enables the user to define different read timings for the first access within one page, and next accesses withi n the page. notes: 1. a denotes the address bus of the memory device 2. for 16-bit devices, the bit 0 of address is igno red. for 32-bit devices, bits [1:0] are ignored. 22.13.1 protocol and timings in page mode figure 22-34 shows the nrd and ncs timings in page mode access. figure 22-34. page mode read protocol (address msb and lsb are defined in table 22-7 ) the nrd and ncs signals are held low during all read transfers, whatever the programmed val- ues of the setup and hold timings in the us er interface may be. moreover, the nrd and ncs timings are identical. the pulse length of the first access to the page is defined with the table 22-7. page address and data address within a page page size page address (1) data address in the page (2) 4 bytes a[25:2] a[1:0] 8 bytes a[25:3] a[2:0] 16 bytes a[25:4] a[3:0] 32 bytes a[25:5] a[4:0] a[msb] ncs mck nrd d[31:0] ncs_rd_pulse nrd_pulse nrd_pulse tsa tpa tsa a[lsb]
197 6289d?atarm?3-oct-11 at91sam9r64/rl64 ncs_rd_pulse field of the smc_pulse register. the pulse length of subsequent accesses within the page are defined using the nrd_pulse parameter. in page mode, the programming of the read timings is described in table 22-8 : the smc does not check the coherency of timings. it will always apply the ncs_rd_pulse timings as page access timing (t pa ) and the nrd_pulse for accesses to the page (t sa ), even if the programmed value for t pa is shorter than the programmed value for t sa . 22.13.2 byte access type in page mode the byte access type configuration remains active in page mode. for 16-bit or 32-bit page mode devices that require byte selection signals, configure the bat field of the smc_register to 0 (byt e select access type). 22.13.3 page mode restriction the page mode is not compatible with the use of the nwait signal. using the page mode and the nwait signal may lead to unpredictable behavior. 22.13.4 sequential and non-sequential accesses if the chip select and the msb of addresses as defined in table 22-7 are identical, then the cur- rent access lies in the same page as the previous one, and no page break occurs. using this information, all data within the same page, sequential or not sequential, are accessed with a minimum access time (t sa ). figure 22-35 illustrates access to an 8-bit memory device in page mode, with 8-byte pages. access to d1 c auses a page access with a long access time (t pa ). accesses to d3 and d7, though they are not sequential accesses, only require a short access time (t sa ). if the msb of addresses are different, the smc performs the access of a new page. in the same way, if the chip select is diffe rent from the previous access, a page break occurs. if two sequen- tial accesses are made to the page mode memory , but separated by an other internal or external peripheral access, a page break occurs on the second access because the chip select of the device was deasserted between both accesses. table 22-8. programming of read timings in page mode parameter value definition read_mode ?x? no impact ncs_rd_setup ?x? no impact ncs_rd_pulse t pa access time of first access to the page nrd_setup ?x? no impact nrd_pulse t sa access time of subsequent accesses in the page nrd_cycle ?x? no impact
198 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 22-35. access to non-sequential data within the same page a [25:3] a[2], a1, a0 ncs mck nrd page address a1 a3 a7 d[7:0] ncs_rd_pulse nrd_pulse nrd_pulse d1 d3 d7
199 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.14 static memory contro ller (smc) user interface the smc is programmed using the registers listed in table 22-9 . for each chip select, a set of 4 registers is used to pro- gram the parameters of the exter nal device connected on it. in table 22-9 , ?cs_number? denotes the chip select number. 16 bytes (0x10) are required per chip select. the user must complete writing the configuration by writing any one of the smc_mode registers. table 22-9. smc register mapping offset register name access reset state 0x10 x cs_number + 0x00 smc setup r egister smc_setup read/write 0x00000000 0x10 x cs_number + 0x04 smc pulse register smc_pulse read/write 0x01010101 0x10 x cs_number + 0x08 smc cycle register smc_cycle read/write 0x00030003 0x10 x cs_number + 0x0c smc mode register smc_mode read/write 0x10001000
200 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.14.1 smc setup register register name: smc_setup[0 ..5] access type: read/write ? nwe_setup: nwe setup length the nwe signal setup length is defined as: nwe setup length = (128* nwe_setup [5] + nwe_setup[4:0]) clock cycles ? ncs_wr_setup: ncs setup length in write access in write access, the ncs signal setup length is defined as: ncs setup length = (128* ncs_wr_setup [5] + ncs_wr_setup[4:0]) clock cycles ? nrd_setup: nrd setup length the nrd signal setup length is defined in clock cycles as: nrd setup length = (128* nrd_setup[5] + nrd_setup[4:0]) clock cycles ? ncs_rd_setup: ncs setup length in read access in read access, the ncs signal setup length is defined as: ncs setup length = (128* ncs_rd_setup [5] + ncs_rd_setup[4:0]) clock cycles 31 30 29 28 27 26 25 24 ? ? ncs_rd_setup 23 22 21 20 19 18 17 16 ? ? nrd_setup 15 14 13 12 11 10 9 8 ? ? ncs_wr_setup 76543210 ? ? nwe_setup
201 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.14.2 smc pulse register register name: smc_pulse[0..5] access type: read/write ? nwe_pulse: nwe pulse length the nwe signal pulse length is defined as: nwe pulse length = (256* nwe_pulse[6] + nwe_pulse[5:0]) clock cycles the nwe pulse length must be at least 1 clock cycle. ? ncs_wr_pulse: ncs pulse length in write access in write access, the ncs signal pulse length is defined as: ncs pulse length = (256* ncs_wr_pul se[6] + ncs_wr_pulse[5:0]) clock cycles the ncs pulse length must be at least 1 clock cycle. ? nrd_pulse: nrd pulse length in standard read access, the nrd signal pulse length is defined in clock cycles as: nrd pulse length = (256* nrd_pulse[ 6] + nrd_pulse[5:0]) clock cycles the nrd pulse length must be at least 1 clock cycle. in page mode read access, the nrd_pulse parameter defines the duration of the subsequent accesses in the page. ? ncs_rd_pulse: ncs pulse length in read access in standard read access, the ncs signal pulse length is defined as: ncs pulse length = (256* ncs_rd_pul se[6] + ncs_rd_pulse[5:0]) clock cycles the ncs pulse length must be at least 1 clock cycle. in page mode read access, the ncs_rd_pulse parameter defines the duration of the first access to one page. 31 30 29 28 27 26 25 24 ? ncs_rd_pulse 23 22 21 20 19 18 17 16 ? nrd_pulse 15 14 13 12 11 10 9 8 ? ncs_wr_pulse 76543210 ?nwe_pulse
202 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.14.3 smc cycle register register name: smc_cycle[0..5] access type: read/write ? nwe_cycle: total write cycle length the total write cycle length is the total du ration in clock cycles of the write cycle. it is equal to the sum of the setup, pul se and hold steps of the nwe and ncs signals. it is defined as: write cycle length = (nwe_cycle[8:7 ]*256 + nwe_cycle[6:0]) clock cycles ? nrd_cycle: total read cycle length the total read cycle length is the total duration in clock cycles of the read cycle. it is equal to the sum of the setup, pulse and hold steps of the nrd and ncs signals. it is defined as: read cycle length = (nrd_cycle[8:7] *256 + nrd_cycle[6:0]) clock cycles 31 30 29 28 27 26 25 24 ???????nrd_cycle 23 22 21 20 19 18 17 16 nrd_cycle 15 14 13 12 11 10 9 8 ???????nwe_cycle 76543210 nwe_cycle
203 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.14.4 smc mode register register name: smc_mode[0..5] access type: read/write ? read_mode: 1: the read operation is controlled by the nrd signal. ? if tdf cycles are programmed, the external bus is marked busy after the rising edge of nrd. ? if tdf optimization is enabled (tdf_mode =1), tdf wait states are inserted after the setup of nrd. 0: the read operation is controlled by the ncs signal. ? if tdf cycles are programmed, the external bus is marked busy after the rising edge of ncs. ? if tdf optimization is enabled (tdf_mode =1), tdf wait states are inserted after the setup of ncs. ?write_mode 1: the write operation is controlled by the nwe signal. ? if tdf optimization is enabled (tdf_mode =1), tdf wa it states will be inserted after the setup of nwe. 0: the write operation is controlled by the ncs signal. ? if tdf optimization is enabled (tdf_mode =1), tdf wa it states will be inserted after the setup of ncs. ? exnw_mode: nwait mode the nwait signal is used to extend the current read or writ e signal. it is only taken into account during the pulse phase of the read and writ e controlling signal. when the use of nwait is enable d, at least one cycle hold duration mu st be pro- grammed for the read and write controlling signal. ? disabled mode: the nwait input signal is ignored on the corresponding chip select. ? frozen mode: if asserted, the nwait signal freezes the current read or write cycle. after deassertion, the read/write cycle is resumed from the point where it was stopped. ? ready mode: the nwait si gnal indicates the availa bility of the external device at t he end of the pulse of the controlling read or write signal, to complete the access. if high, the access normally completes. if low, the access is extended until nwait returns high. 31 30 29 28 27 26 25 24 ?? ps ???pmen 23 22 21 20 19 18 17 16 ? ? ? tdf_mode tdf_cycles 15 14 13 12 11 10 9 8 ?? dbw ???bat 76543210 ? ? exnw_mode ? ? write_mode read_mode exnw_mode nwait mode 00disabled 01reserved 1 0 frozen mode 1 1 ready mode
204 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? bat: byte access type this field is used only if dbw defines a 16- or 32-bit data bus. ? 1: byte write access type: ? write operation is controlled us ing ncs, nwr0, nwr1, nwr2, nwr3. ? read operation is controlled using ncs and nrd. ? 0: byte select access type: ? write operation is controlled using ncs, nwe, nbs0, nbs1, nbs2 and nbs3 ? read operation is controlled using ncs, nrd, nbs0, nbs1, nbs2 and nbs3 ? dbw: data bus width ? tdf_cycles: data float time this field gives the integer number of clock cycles required by the external device to release the data after the rising edge of the read controlling signal. the smc always provide one full cycle of bus turnaround after the tdf_cycles period. the external bus cannot be used by another chip select during tdf_cycles + 1 cycles. from 0 up to 15 tdf_cycles can be set. ? tdf_mode: tdf optimization 1: tdf optimization is enabled. ? the number of tdf wait states is optimized using the setup period of the next read/write access. 0: tdf optimization is disabled. ? the number of tdf wait states is inserted before the next access begins. ? pmen: page mode enabled 1: asynchronous burst read in page mode is applied on the corresponding chip select. 0: standard read is applied. ? ps: page size if page mode is enabled, this field indicates the size of the page in bytes. dbw data bus width 008-bit bus 0116-bit bus 1032-bit bus 11reserved ps page size 0 0 4-byte page 0 1 8-byte page 1 0 16-byte page 1 1 32-byte page
205 6289d?atarm?3-oct-11 at91sam9r64/rl64 23. sdram controller (sdramc) 23.1 description the sdram controller (sdramc) extends the memory capabilities of a ch ip by providing the interface to an external 16-bit or 32-bit sdram device. the page size supports ranges from 2048 to 8192 and the number of columns from 256 to 2048. it supports byte (8-bit), half-word (16-bit) and word (32-bit) accesses. the sdram controller supports a read or write burst length of one location. it keeps track of the active row in each bank, thus maximizing sdram performance, e.g., the application may be placed in one bank and data in the other banks. so as to optimize performance, it is advisable to avoid accessing different rows in the same bank. the sdram controller supports a cas latency of 1, 2 or 3 and optimizes the read access depending on the frequency. the different modes available - self-refresh, power-down and deep power-down modes - mini- mize power consumption on the sdram device. 23.2 i/o lines description table 23-1. i/o line description name description type active level sdck sdram clock output sdcke sdram clock enable output high sdcs sdram controller chip select output low ba[1:0] bank select signals output ras row signal output low cas column signal output low sdwe sdram write enable output low nbs[3:0] data mask enable signals output low sdramc_a[12:0] address bus output d[31:0] data bus i/o
206 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.3 application example 23.3.1 software interface the sdram address space is organized into banks, rows, and columns. the sdram controller allows mapping different memory types according to the values set in the sdramc configura- tion register. the sdram controller?s function is to make the sdram device access protocol transparent to the user. table 23-2 to table 23-7 illustrate the sdram device memory mapping seen by the user in correlation with the device structure. various co nfigurations are illustrated. 23.3.2 32-bit memory data bus width notes: 1. m[1:0] is the byte address inside a 32-bit word. 2. bk[1] = ba1, bk[0] = ba0. table 23-2. sdram configuration mapping: 2k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[10:0] column[7:0] m[1:0] bk[1:0] row[10:0] column[8:0] m[1:0] bk[1:0] row[10:0] column[9:0] m[1:0] bk[1:0] row[10:0] column[10:0] m[1:0] table 23-3. sdram configuration mapping: 4k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[11:0] column[7:0] m[1:0] bk[1:0] row[11:0] column[8:0] m[1:0] bk[1:0] row[11:0] column[9:0] m[1:0] bk[1:0] row[11:0] column[10:0] m[1:0] table 23-4. sdram configuration mapping: 8k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[12:0] column[7:0] m[1:0] bk[1:0] row[12:0] column[8:0] m[1:0] bk[1:0] row[12:0] column[9:0] m[1:0] bk[1:0] row[12:0] column[10:0] m[1:0]
207 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.3.3 16-bit memory data bus width notes: 1. m0 is the byte address inside a 16-bit half-word. 2. bk[1] = ba1, bk[0] = ba0. table 23-5. sdram configuration mapping: 2k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[10:0] column[7:0] m0 bk[1:0] row[10:0] column[8:0] m0 bk[1:0] row[10:0] column[9:0] m0 bk[1:0] row[10:0] column[10:0] m0 table 23-6. sdram configuration mapping: 4k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[11:0] column[7:0] m0 bk[1:0] row[11:0] column[8:0] m0 bk[1:0] row[11:0] column[9:0] m0 bk[1:0] row[11:0] column[10:0] m0 table 23-7. sdram configuration mapping: 8k rows, 256/512/1024/2048 columns cpu address line 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0 bk[1:0] row[12:0] column[7:0] m0 bk[1:0] row[12:0] column[8:0] m0 bk[1:0] row[12:0] column[9:0] m0 bk[1:0] row[12:0] column[10:0] m0
208 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.4 product dependencies 23.4.1 sdram device initialization the initialization sequence is generated by softw are. the sdram devices are initialized by the following sequence: 1. sdram features must be set in the configuration register: asynchronous timings (trc, tras, etc.), number of columns, rows, cas latency, and the data bus width. 2. for mobile sdram, temperature-compensated self refresh (tcsr), drive strength (ds) and partial array self refresh (pasr) must be set in the low power register. 3. the sdram memory type must be set in the memory device register. 4. a minimum pause of 200 s is provided to precede any signal toggle. 5. (1) a nop command is issued to the sdram devices. the application must set mode to 1 in the mode register and perform a write access to any sdram address. 6. an all banks precharge command is issued to the sdram devices. the application must set mode to 2 in the mode register and perform a write access to any sdram address. 7. eight auto-refresh (cbr) cycles are provided. the application must set the mode to 4 in the mode register and perform a write access to any sdram location eight times. 8. a mode register set (mrs) cycle is issued to program the parameters of the sdram devices, in particular cas latency and burst length. the application must set mode to 3 in the mode register and perform a write access to the sdram. the write address must be chosen so that ba[1:0] are set to 0. for example, with a 16-bit 128 mb sdram (12 rows, 9 columns, 4 banks) bank address, the sdram write access should be done at the address 0x20000000. 9. for mobile sdram initialization, an ex tended mode register set (emrs) cycle is issued to program the sdram parameters (tcsr, pasr, ds). the application must set mode to 5 in the mode register and perform a write access to the sdram. the write address must be chosen so that ba[1] or ba[0] are set to 1. for example, with a 16-bit 128 mb sdram, (12 rows, 9 columns, 4 banks) bank address the sdram write access should be done at the address 0x20800000 or 0x20400000. 10. the application must go into normal mode, setting mode to 0 in the mode register and performing a write access at any location in the sdram. 11. write the refresh rate into the count field in the sdramc refresh timer register. (refresh rate = delay between refresh cycles). the sdram device requires a refresh every 15.625 s or 7.81 s. with a 100 mhz frequency, the refresh timer counter register must be set with the value 1562(15.652 s x 100 mhz) or 781(7.81 s x 100 mhz). after initialization, the sdram devices are fully functional. note: 1. it is strongly recommended to respect the instructions stated in step 5 of the initialization pro- cess in order to be certain that the subseque nt commands issued by the sdramc will be taken into account.
209 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 23-1. sdram device initialization sequence 23.4.2 i/o lines the pins used for interfacing the sdram contro ller may be multiplexed with the pio lines. the programmer must first program the pio controller to assign the sdram controller pins to their peripheral function. if i/o lines of the sdram controller are not used by the application, they can be used for other purposes by the pio controller. 23.4.3 interrupt the sdram controller interrupt (refresh error notification) is connected to the memory control- ler. this interrupt may be ored with other syst em peripheral interrupt lines and is finally provided as the system interrupt source (source 1) to the aic (advanced interrupt controller). using the sdram controller interrupt requires the aic to be programmed first. sdck sdramc_a[9:0] a10 sdramc_a[12:11] sdcs ras cas sdwe nbs inputs stable for 200 sec precharge all banks 1st auto-refresh 8th auto-refresh mrs command valid command sdcke t rp t rc t mrd
210 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5 functional description 23.5.1 sdram controller write cycle the sdram controller allows burst access or single access. in both cases, the sdram control- ler keeps track of the active row in each bank, th us maximizing performance. to initiate a burst access, the sdram controller uses the transfe r type signal provided by the master requesting the access. if the next access is a sequential write access, writing to the sdram device is car- ried out. if the next access is a write-sequential access, but the current a ccess is to a boundary page, or if the next access is in another ro w, then the sdram controller generates a precharge command, activates the new row and initiates a write command. to comply with sdram timing parameters, additional clock cycles ar e inserted between precharge/active (t rp ) commands and active/write (t rcd ) commands. for definition of these timing parameters, refer to the ?sdramc configuration register? on page 221 . this is described in figure 23-2 below. figure 23-2. write burst, 32-bit sdram access sdck sdcs ras cas sdramc_a[12:0] d[31:0] t rcd = 3 dna sdwe dnb dnc dnd dne dnf dng dnh dni dnj dnk dnl row n col a col b col c col d col e col f col g col h col i col j col k col l
211 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.2 sdram controller read cycle the sdram controller allows burst access, incremental burst of unspecified length or single access. in all cases, the sdram controller keeps track of the active row in each bank, thus maximizing performance of the sdram. if row and bank addresses do not match the previous row/bank address, then the sdram controller automatically generates a precharge command, activates the new row and starts the read command. to comply with the sdram timing param- eters, additional clock cycles on sdck are inserted between precharge and active commands (t rp ) and between active and read command (t rcd ). these two parameters are set in the config- uration register of the sdram controller. after a read command, additional wait states are generated to comply with the cas latency (1, 2 or 3 clock delays specified in the configuration register). for a single access or an incremented burst of unspecified length, the sdram controller antici- pates the next access. while the last value of t he column is returned by the sdram controller on the bus, the sdram controller anticipates the read to the next column and thus anticipates the cas latency. this reduces the effect of the cas latency on the internal bus. for burst access of specified length (4, 8, 16 words), access is not anticipated. this case leads to the best performance. if the burst is broken (border, busy mode, etc.), the next access is han- dled as an incrementing burst of unspecified length. figure 23-3. read burst, 32-bit sdram access sdck sdcs ras cas sdramc_a[12:0] d[31:0] (input) t rcd = 3 dna sdwe dnb dnc dnd dne dnf row n col a col b col c col d col e col f cas = 2
212 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.3 border management when the memory row boundary has been reached, an automatic page break is inserted. in this case, the sdram controller generates a precharge command, activates the new row and initi- ates a read or write command. to comply with sd ram timing parameters, an additional clock cycle is inserted between the precharge/active (t rp ) command and the active/read (t rcd ) com- mand. this is described in figure 23-4 below. figure 23-4. read burst with boundary row access sdck sdcs ras cas sdramc_a[12:0] d[31:0] t rp = 3 sdwe row m col a col a col b col c col d col e dna dnb dnc dnd t rcd = 3 cas = 2 col b col c col d dma dmb dmc dmd row n dme
213 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.4 sdram controller refresh cycles an auto-refresh command is used to refresh the sdram device. refresh addresses are gener- ated internally by the sdram device and incremented after each auto-refresh automatically. the sdram controller generates these auto-refresh commands periodically. an internal timer is loaded with the value in the register sdramc _tr that indicates the number of clock cycles between refresh cycles. a refresh error interrupt is generated when the previous auto-refresh command did not perform. it is acknowledged by reading the interrupt status register (sdramc_isr). when the sdram controller initia tes a refresh of the sdram devi ce, internal memory accesses are not delayed. however, if the cpu tries to ac cess the sdram, the slave indicates that the device is busy and the master is held by a wait signal. see figure 23-5 . figure 23-5. refresh cycle followed by a read access sdck sdcs ras cas sdramc_a[12:0] d[31:0] (input) t rp = 3 sdwe dnb dnc dnd col c col d cas = 2 row m col a t rc = 8 t rcd = 3 dma row n
214 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.5 power management three low-power modes are available: ? self-refresh mode: the sdram executes its own auto-refresh cycle without control of the sdram controller. current drain ed by the sdram is very low. ? power-down mode: auto-refresh cycles are controlled by the sdram controller. between auto-refresh cycles, the sdram is in power-down. current drained in power-down mode is higher than in self-refresh mode. ? deep power-down mode: (only available with mobile sdram) the sdram contents are lost, but the sdram does not drain any current. the sdram controller activates one low-power mode as soon as the sdram device is not selected. it is possible to delay the entry in self-refresh and power-down mode after the last access by programming a timeout value in the low power register.
215 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.6 self-refresh mode this mode is selected by programming the lpcb field to 1 in the sdramc low power register. in self-refresh mode, the sdram device retains data without external clocking and provides its own internal clocking, thus performing its own auto-refresh cycles. all the inputs to the sdram device become ?don?t care? except sdcke, whic h remains low. as soon as the sdram device is selected, the sdram controller provides a sequence of commands and exits self-refresh mode. some low-power sdrams (e.g., mobile sdram) can refresh only one quarter or a half quarter or all banks of the sdram array. this feature reduces the self-refresh current. to configure this feature, temperature compensated self refresh (tcsr), partial array self refresh (pasr) and drive strength (ds) parameters must be set in the low power register and transmitted to the low-power sdram du ring initialization. after initialization, as soon as pasr/ds/tcsr fields are modifi ed and self-r efresh mode is acti- vated, the extended mo de register is accessed automatic ally and pasr/ds/tcsr bits are updated before entry into self-refresh mode. the sdram device must remain in self-refresh mode for a minimum period of t ras and may remain in self-refresh mode for an indefinite period. this is described in figure 23-6 . figure 23-6. self-refresh mode behavior sdck sdcs ras cas sdramc_a[12:0] self refresh mode sdwe row t xsr = 3 sdcke write sdramc_srr srcb = 1 access request to the sdram controller
216 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.7 low-power mode this mode is selected by programming the lpcb field to 2 in the sdramc low power register. power consumption is greater than in self-refresh mode. all the input and output buffers of the sdram device are deactivated except sdcke, which remains low. in contrast to self-refresh mode, the sdram device cannot remain in low-power mode longer than the refresh period (64 ms for a whole device refresh operation). as no auto-refresh operations are performed by the sdram itself, the sdram controller carries out the refresh operation. the exit procedure is faster than in self-refresh mode. this is described in figure 23-7 . figure 23-7. low-power mode behavior sdck sdcs ras cas sdramc_a[12:0] d[31:0] (input) t rcd = 3 dna dnb dnc dnd dne dnf row n col a col b col c col d col e col f cas = 2 sdcke low power mode
217 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.5.8 deep power-down mode this mode is selected by programming the lpcb field to 3 in the sdramc low power register. when this mode is activated, all internal voltage generators inside the sdram are stopped and all data is lost. when this mode is enabled, the application must not access to the sdram until a new initializa- tion sequence is done (see ?sdram device initialization? on page 208 ). this is described in figure 23-8 . figure 23-8. deep power-down mode behavior sdck sdcs ras cas sdramc_a[12:0] d[31:0] (input) t rp = 3 sdwe dnb dnc dnd col c col d row n cke
218 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6 sdram controller user interface table 23-8. sdram controller memory map offset register name access reset state 0x00 sdramc mode register sd ramc_mr read/write 0x00000000 0x04 sdramc refresh timer regist er sdramc_tr read/write 0x00000000 0x08 sdramc configuration regist er sdramc_cr read/write 0x852372c0 0x10 sdramc low power register sdramc_lpr read/write 0x0 0x14 sdramc interrupt enable register sdramc_ier write-only ? 0x18 sdramc interrupt disable register sdramc_idr write-only ? 0x1c sdramc interrupt mask register sdramc_imr read-only 0x0 0x20 sdramc interrupt status register sdramc_isr read-only 0x0 0x24 sdramc memory device register sdramc_mdr read 0x0 0x28 - 0xfc reserved ? ? ?
219 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.1 sdramc mode register register name : sdramc_mr access type : read/write reset value : 0x00000000 ? mode: sdramc command mode this field defines the command issued by the sdram controller when the sdram device is accessed. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????? mode mode description 0 0 0 normal mode. any access to the sdram is decoded normally. 001 the sdram controller issues a nop command when the sdram device is accessed regardless of the cycle. 010 the sdram controller issues an ?all banks prechar ge? command when the sdram device is accessed regardless of the cycle. 011 the sdram controller issues a ?load mode regi ster? command when the sdram device is accessed regardless of the cycle. the address offset with resp ect to the sdram device base address is used to program the mode register. for instance, when this mode is activated, an access to the ?sdram_base + offset? address generates a ?load mode register? command with the value ?offset? written to the sdram device mode register. 100 the sdram controller issues an ?auto-refresh? command when the sdram device is accessed regardless of the cycle. previously, an ?all banks precharge? command must be issued. 101 the sdram controller issues an extended load mode register command when the sdram device is accessed regardless of the cycle. t he address offset with respect to the sdram device base address is used to program the mode register. for instance, when this mode is activated, an access to the ?sdram_base + offset? address generates an ?ext ended load mode register? command with the value ?offset? written to the s dram device mode register. 1 1 0 deep power-down mode. enters deep power-down mode.
220 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.2 sdramc refresh timer register register name : sdramc_tr access type : read/write reset value : 0x00000000 ? count: sdramc refresh timer count this 12-bit field is loaded into a timer that generates the refr esh pulse. each time the refresh pulse is generated, a refresh burst is initiated. the value to be loaded depends on the sdramc clock frequency (mck: master clock), the refresh rate of the sdram device and the refresh burst length where 15.6 s per row is a typical value for a burst of length one. to refresh the sdram device, this 12-bit field must be written. if this condition is not satisfied, no refresh command is issued and no refresh of the sdram device is carried out. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???? count 76543210 count
221 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.3 sdramc configuration register register name : sdramc_cr access type : read/write reset value : 0x852372c0 ? nc: number of column bits reset value is 8 column bits. ? nr: number of row bits reset value is 11 row bits. ? nb: number of banks reset value is two banks. 31 30 29 28 27 26 25 24 txsr tras 23 22 21 20 19 18 17 16 trcd trp 15 14 13 12 11 10 9 8 trc twr 76543210 dbw cas nb nr nc nc column bits 008 019 1010 1111 nr row bits 00 11 01 12 10 13 11 reserved nb number of banks 02 14
222 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? cas: cas latency reset value is two cycles. in the sdramc, only a cas latency of one, two and three cycles are managed. in any case, another value must be programmed. ? dbw: data bus width reset value is 16 bits 0: data bus width is 32 bits. 1: data bus width is 16 bits. ? twr: write recovery delay reset value is two cycles. this field defines the write recovery time in numb er of cycles. number of cycles is between 0 and 15. ? trc: row cycle delay reset value is seven cycles. this field defines the delay between a refresh and an activate command in numbe r of cycles. number of cycles is between 0 and 15. ? trp: row precharge delay reset value is three cycles. this field defines the delay between a precharge command and another command in number of cycles. number of cycles is between 0 and 15. ? trcd: row to column delay reset value is two cycles. this field defines the delay between an activate comman d and a read/write command in number of cycles. number of cycles is between 0 and 15. ? tras: active to precharge delay reset value is five cycles. this field defines the delay between an activate command and a precharge command in number of cycles. number of cycles is between 0 and 15. ? txsr: exit self refresh to active delay reset value is eight cycles. this field defines the delay between scke set high and an activate command in numb er of cycles. number of cycles is between 0 and 15. cas cas latency (cycles) 00 reserved 01 1 10 2 11 3
223 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.4 sdramc low power register register name : sdramc_lpr access type : read/write reset value :0x0 ? lpcb: low-power configuration bits ? pasr: partial array self-refresh (only for low-power sdram) pasr parameter is transmitted to the sdram during initialization to specify whether only one quar ter, one half or all banks of the sdram array are enabled. disabled banks are not refreshed in self-refresh mode. this parameter must be set according to the sdram device specification. after initialization, as soon as pasr fiel d is modified and self-refresh mode is activated, the extended mode register is accessed automatically and pasr bits are updated before entry in self-refresh mode. ? tcsr: temperature compensated self -refresh (only fo r low-power sdram) tcsr parameter is transmitted to the sdram during initiali zation to set the refresh interval during self-refresh mode depending on the temperature of the low-power sdram. this parameter must be set according to the sdram device specification. after initialization, as soon as tcsr fi eld is modified and self-refresh mode is activated, the extended mode register is accessed automatically and tcsr bits are updated before entry in self-refresh mode. ? ds: drive strength (only for low-power sdram) ds parameter is transmitted to the sdram during initialization to sele ct the sdram strength of data output. this parame- ter must be set according to the sdram device specification. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?? timeout ds tcsr 76543210 ? pasr ? ? lpcb 00 low power feature is inhibited: no power-down, self-re fresh or deep power-down command is issued to the sdram device. 01 the sdram controller issues a self-refresh command to the sdram device, the sdclk clock is deactivated and the sdcke signal is set low. the sdram device leaves the self refresh mode when accessed and enters it after the access. 10 the sdram controller issues a power-down command to the sdram device after each access, the sdcke signal is set to low. the sdram device leaves the power-down mode when accessed and enters it after the access. 11 the sdram controller issues a deep power-down command to the sdram device. this mode is unique to low-power sdram.
224 6289d?atarm?3-oct-11 at91sam9r64/rl64 after initialization, as soon as ds fi eld is modified and self-refresh mode is activated, the extended mode register is accessed automatically and ds bits are updated before entry in self-refresh mode. ? timeout: time to define when low-power mode is enabled 00 the sdram controller activates the sdram low-power mo de immediately after the end of the last transfer. 01 the sdram controller activates the sdram low-power mo de 64 clock cycles after the end of the last transfer. 10 the sdram controller activates the sdram low-power mode 128 clock cycles after the end of the last transfer. 11 reserved.
225 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.5 sdramc interrupt enable register register name : sdramc_ier access type : write-only ? res: refresh error status 0: no effect. 1: enables the refresh error interrupt. 23.6.6 sdramc interrupt disable register register name : sdramc_idr access type : write-only ? res: refresh error status 0: no effect. 1: disables the refresh error interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????res 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????res
226 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.7 sdramc interrupt mask register register name : sdramc_imr access type : read-only ? res: refresh error status 0: the refresh error interrupt is disabled. 1: the refresh error interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????res
227 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.8 sdramc interrupt status register register name : sdramc_isr access type : read-only ? res: refresh error status 0: no refresh error has been detected since the register was last read. 1: a refresh error has been detected since the register was last read. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????res
228 6289d?atarm?3-oct-11 at91sam9r64/rl64 23.6.9 sdramc memory device register register name : sdramc_mdr access type : read/write ? md: memory device type 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????? md 00 sdram 01 low-power sdram 10 reserved 11 reserved.
229 6289d?atarm?3-oct-11 at91sam9r64/rl64 24. error corrected code (ecc) controller 24.1 description nand flash/smartmedia devices contain by default invalid blocks which have one or more invalid bits. over the nand flash/smartmedia lifetime, additional invalid blocks may occur which can be detected/corrected by ecc code. the ecc controller is a mechanism that encodes data in a manner that makes possible the identification and correction of certain errors in data. the ecc controller is capable of single bit error correction and 2-bit random detection. w hen nand flash/smartmedia have more than 2 bits of errors, the data cannot be corrected. the ecc user interfac e is compliant with the arm adv anced peripheral bus (apb rev2). 24.2 block diagram figure 24-1. block diagram 24.3 functional description a page in nand flash and smartmedia memories contains an area for main data and an addi- tional area used for redundancy (ecc). the page is organized in 8-bit or 16-bit words. the page size corresponds to the number of words in the main area plus the number of words in the extra area used for redundancy. user interface ctrl/ecc algorithm static memory controller apb nand flash smartmedia logic ecc controller
230 6289d?atarm?3-oct-11 at91sam9r64/rl64 the only configuration requir ed for ecc is the nand flash or the smartmedia page size (528/1056/2112/4224). page size is configured setting the pagesize field in the ecc mode register (ecc_mr). ecc is automatically computed as soon as a read (00h)/write (80h) command to the nand flash or the smartmedia is detected. read and write access must start at a page boundary. ecc results are available as soon as the counte r reaches the end of the main area. values in the ecc parity register (ecc_pr) and ecc np arity register (ecc_npr) are then valid and locked until a new start condit ion occurs (read/write command followed by address cycles). 24.3.1 write access once the flash memory page is written, the computed ecc code is available in the ecc parity error (ecc_pr) and ecc_nparit y error (ecc_npr) registers. the ecc code value must be written by the software application in the extra area used for redundancy. 24.3.2 read access after reading the whole data in the main area, the application must perform read accesses to the extra area where ecc code has been previously stored. error detection is automatically per- formed by the ecc controller. please note that it is mandatory to read consecutively the entire main area and the locations where parity and nparity values have been previously stored to let the ecc controller perform error detection. the application can check the ecc status register (ecc_sr) for any detected errors. it is up to the application to correct any detected error. ecc computation can detect four differ- ent circumstances: ? no error: xor between the ecc computation and the ecc code stored at the end of the nand flash or smartmedia page is equal to 0. no error flags in the ecc status register (ecc_sr). ? recoverable error: only the recerr flag in the ecc status register (ecc_sr) is set. the corrupted word offset in the read page is defined by the wordaddr field in the ecc parity register (ecc_pr). the corrupted bit position in the concerned word is defined in the bitaddr field in the ecc parity register (ecc_pr). ? ecc error: the eccerr flag in the ecc status register is set. an error has been detected in the ecc code stored in the flash memory. the position of the corrupted bit can be found by the application performing an xor between the parity and the nparity contained in the ecc code stored in the flash memory. ? non correctable error: the mulerr flag in the ecc status register is set. several unrecoverable errors have been detected in the flash memory page. ecc status register, ecc parity register and ecc nparity register are cleared when a read/write command is detected or a software reset is performed. for single-bit error correction and double-bit er ror detection (sec-ded) hsiao code is used. 32-bit ecc is generated in order to perform one bit correction per 512/1024/2048/4096 8- or 16- bit words. of the 32 ecc bits, 26 bits are for line parity and 6 bits are for column parity. they are generated according to the schemes shown in figure 24-2 and figure 24-3 .
231 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 24-2. parity generation for 512/1024/2048/4096 8-bit words1 to calculate p8? to px? and p8 to px, apply the algorithm that follows. page size = 2 n for i =0 to n begin for (j = 0 to page_size_byte) begin if(j[i] ==1) p[2 i+3 ]=bit7(+)bit6(+)bit5(+)bit4(+)bit3(+) bit2(+)bit1(+)bit0(+)p[2 i+3 ] else p[2 i+3 ]?=bit7(+)bit6(+)bit5(+)bit4(+)bit3(+) bit2(+)bit1(+)bit0(+)p[2 i+3 ]' end end bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 p8 p8' bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 p8 p8' p16 p16' bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 p8 p8' bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 bit7 bit6 bit5 bit4 bit3 bit2 bit1 bit0 p8 p8' p16 p16' p32 p32 1st byte p32 2nd byte 3rd byte 4 th byte page size th byte (page size -1 )th byte px px' page size = 512 px = 2048 page size = 1024 px = 4096 page size = 2048 px = 8192 page size = 4096 px = 16384 (page size -2 )th byte (page size -3 )th byte p1 p1' p1' p1 p1 p1' p1' p1 p2 p2' p2 p2' p4 p4' p1=bit7(+)bit5(+)bit3(+)bit1(+)p1 p2=bit7(+)bit6(+)bit3(+)bit2(+)p2 p4=bit7(+)bit6(+)bit5(+)bit4(+)p4 p1'=bit6(+)bit4(+)bit2(+)bit0(+)p1' p2'=bit5(+)bit4(+)bit1(+)bit0(+)p2' p4'=bit7(+)bit6(+)bit5(+)bit4(+)p4'
232 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 24-3. parity generation for 512/1024/2048/4096 16-bit words 1st word 2nd word 3rd word 4th word (page size -3 )th word (page size -2 )th word (page size -1 )th word page size th word (+) (+)
233 6289d?atarm?3-oct-11 at91sam9r64/rl64 to calculate p8? to px? and p8 to px, apply the algorithm that follows. page size = 2 n for i =0 to n begin for (j = 0 to page_size_word) begin if(j[i] ==1) p[2 i+3 ]= bit15(+)bit14(+)bit13(+)bit12(+) bit11(+)bit10(+)bit9(+)bit8(+) bit7(+)bit6(+)bit5(+)bit4(+)bit3(+) bit2(+)bit1(+)bit0(+)p[2 n+3 ] else p[2 i+3 ]?=bit15(+)bit14(+)bit13(+)bit12(+) bit11(+)bit10(+)bit9(+)bit8(+) bit7(+)bit6(+)bit5(+)bit4(+)bit3(+) bit2(+)bit1(+)bit0(+)p[2 i+3 ]' end end
234 6289d?atarm?3-oct-11 at91sam9r64/rl64 24.4 error corrected code (ecc ) controller user interface table 24-1. ecc register mapping offset register register name access reset 0x00 ecc control register ecc_cr write-only 0x0 0x04 ecc mode register ecc_mr read/write 0x0 0x08 ecc status register ecc_sr read-only 0x0 0x0c ecc parity register ecc_pr read-only 0x0 0x10 ecc nparity register ecc_npr read-only 0x0
235 6289d?atarm?3-oct-11 at91sam9r64/rl64 24.4.1 ecc control register name: ecc_cr access type: write-only ? rst: reset parity provides reset to current ecc by software. 1 = resets ecc parity and ecc nparity register. 0 = no effect. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????rst
236 6289d?atarm?3-oct-11 at91sam9r64/rl64 24.4.2 ecc mode register register name : ecc_mr access type : read/write ? pagesize: page size this field defines the page size of the nand flash device. a word has a value of 8 bits or 16 bits, depending on the nand flash or smartmedia memory organization. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????? pagesize page size description 00 528 words 01 1056 words 10 2112 words 11 4224 words
237 6289d?atarm?3-oct-11 at91sam9r64/rl64 24.4.3 ecc status register register name : ecc_sr access type : read-only ? recerr: recoverable error 0 = no errors detected. 1 = errors detected. if mul_error is 0, a single correctable error was detected. otherwise multiple uncorrected errors were detected. ? eccerr: ecc error 0 = no errors detected. 1 = a single bit error occurred in the ecc bytes. read both ecc parity and ecc nparity register, the error occurr ed at the location which contains a 1 in the least signifi- cant 16 bits. ? mulerr: multiple error 0 = no multiple errors detected. 1 = multiple errors detected. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????mulerreccerrrecerr
238 6289d?atarm?3-oct-11 at91sam9r64/rl64 24.4.4 ecc parity register register name : ecc_pr access type : read-only once the entire main area of a page is written with data, the register content must be stored at any free location of the spare area. ? bitaddr during a page read, this value contains the corrupted bit offset where an error occurred, if a single error was detected. if multiple errors were detected, this value is meaningless. ? wordaddr during a page read, this value contains the word address (8-bit or 16-bit word depending on the memory plane organiza- tion) where an error occurred, if a single error was detected. if multiple errors were detected, this value is meaningless. 24.4.5 ecc nparity register register name : ecc_npr access type : read-only ? nparity: once the entire main area of a page is written with data, the register content must be stored at any free location of the spare area. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 wordaddr 76543210 wordaddr bitaddr 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 nparity 76543210 nparity
239 6289d?atarm?3-oct-11 at91sam9r64/rl64 25. peripheral dma controller (pdc) 25.1 description the peripheral dma controller (pdc) transfers data between on-chip serial peripherals and the on- and/or off-chip memories. the link betw een the pdc and a serial peripheral is operated by the ahb to abp bridge. the pdc contains twenty-two channels. the full-duplex peripherals feature twenty-one mono- directional channels used in pairs (transmit only or receive only). the half-duplex peripherals feature one bi-directional channel. the user interface of each pdc channel is integrat ed into the user interface of the peripheral it serves. the user interface of mono directional channels (receive only or transmit only), contains two 32-bit memory pointers and two 16-bit counters, one set (pointer, counter) for current trans- fer and one set (pointer, counter) for next transfer. the bi-directional channel user interface contains four 32-bit memory pointers and four 16-bit counters. each set (pointer, counter) is used by current transmit, next transmi t, current receive and next receive. using the pdc removes processor overhead by reducing its intervention during the transfer. this significantly reduces the number of clock cycles required for a data transfer, which improves microcontroller performance. to launch a transfer, the peripheral triggers its associated pdc channels by using transmit and receive signals. when the programmed data is transferred, an end of transfer interrupt is gener- ated by the peripheral itself.
240 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.2 block diagram figure 25-1. block diagram pdc full duplex peripheral thr rhr pdc channel a pdc channel b control status & control control pdc channel c half duplex peripheral thr status & control receive or transmit peripheral rhr or thr control control rhr pdc channel d status & control
241 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.3 functional description 25.3.1 configuration the pdc channel user interface enables the user to configure and control data transfers for each channel. the user interface of each pdc channel is integrated into the associated periph- eral user interface. the user interface of a serial peripheral, whether it is full or half duplex, contains four 32-bit pointers (rpr, rnpr, tpr, tn pr) and four 16-bit counter registers (rcr, rncr, tcr, tncr). however, the transmit and receive parts of each type are programmed differently: the transmit and receive parts of a full duplex peripheral can be programmed at the same time, whereas only one part (transmit or receive) of a half duplex peripheral can be programmed at a time. 32-bit pointers define the access location in memory for current and next transfer, whether it is for read (transmit) or write (receive). 16-bit counters define the size of current and next transfers. it is possible, at any moment, to read the number of transfers left for each channel. the pdc has dedicated status registers which indica te if the transfer is enabled or disabled for each channel. the status for each channel is located in the associated peripheral status register. transfers can be enabled and/or disabled by setting txten/txtdis and rxten/rxtdis in the peripheral?s transfer control register. at the end of a transfer, the pdc channel sends status flags to its associated peripheral. these flags are visible in the peripheral status register (endrx, endtx, rxbuff, and txbufe). refer to section 25.3.3 and to the associated peripheral user interface. 25.3.2 memory pointers each full duplex peripheral is connected to the pdc by a receive channel and a transmit chan- nel. both channels have 32-bit memory pointers that point respectively to a receive area and to a transmit area in on- and/or off-chip memory. each half duplex peripheral is connected to the pdc by a bidirectional channel. this channel has two 32-bit memory pointers, one for current transfer and the other for next transfer. these pointers point to transmit or receive data depending on the operating mode of the peripheral. depending on the type of transfer (byte, half-word or word), the memory pointer is incremented respectively by 1, 2 or 4 bytes. if a memory pointer address changes in the middle of a transfer, the pdc channel continues operating using the new address. 25.3.3 transfer counters each channel has two 16-bit counters, one for current transfer and the other one for next trans- fer. these counters define the size of data to be transferred by the channel. the current transfer counter is decremented first as the data addresse d by current memory pointer starts to be trans- ferred. when the cu rrent transfer counter re aches zero, the channel checks its next transfer counter. if the value of next counter is zero, the channel stops transferring data and sets the appropriate flag. but if the next counter value is greater then zero, the values of the next pointer/next counter are copied into the current pointer/current counter and the channel resumes the transfer whereas next pointer/next counter get zero/zero as values. at the end of this trans- fer the pdc channel sets the appropriate flags in the peripheral status register.
242 6289d?atarm?3-oct-11 at91sam9r64/rl64 the following list gives an overview of how status register flags behave depending on the coun- ters? values: ? endrx flag is set when the periph_rcr register reaches zero. ? rxbuff flag is set when both per iph_rcr and periph_rncr reach zero. ? endtx flag is set when the periph_tcr register reaches zero. ? txbufe flag is set when both periph_tcr and periph_tncr reach zero. these status flags are described in the peripheral status register. 25.3.4 data transfers the serial peripheral triggers its associated pdc channels? transfers using transmit enable (txen) and receive enable (rxen) flags in the transfer control register integrated in the periph- eral?s user interface. when the peripheral receives an external data, it sends a receive ready signal to its pdc receive channel which then requests access to the matrix. when access is granted, the pdc receive channel starts reading the peripheral receive holding register (rhr). the read data are stored in an internal buffer and then written to memory. when the peripheral is about to send data, it sends a transmit ready to its pdc transmit chan- nel which then requests access to the matrix. when access is granted, the pdc transmit channel reads data from memory and puts them to transmit holding regist er (thr) of its asso- ciated peripheral. the same peripheral sends data according to its mechanism. 25.3.5 pdc flags and peripheral status register each peripheral connected to the pdc sends out receive ready and transmit ready flags and the pdc sends back flags to the peripheral. all these flags are only visible in the peripheral status register. depending on the type of peripheral, half or full duplex, the flags belong to either one single channel or two different channels. 25.3.5.1 receive transfer end this flag is set when periph_rcr register reaches zero and the last data has been transferred to memory. it is reset by writing a non zero value in periph_rcr or periph_rncr. 25.3.5.2 transmit transfer end this flag is set when periph_tcr register reaches zero and the last data has been written into peripheral thr. it is reset by writing a non zero value in periph_tcr or periph_tncr. 25.3.5.3 receive buffer full this flag is set when periph_rcr register reac hes zero with periph_rncr also set to zero and the last data has been transferred to memory. it is reset by writing a non zero value in periph_tcr or periph_tncr.
243 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.3.5.4 transmit buffer empty this flag is set when periph_tcr register reac hes zero with periph_tncr also set to zero and the last data has been written into peripheral thr. it is reset by writing a non zero value in periph_tcr or periph_tncr.
244 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4 peripheral dma controll er (pdc) user interface note: 1. periph: ten registers are mapped in the peripheral memory space at the same offset. these can be defined by the user according to the function and the peripheral desired (dbgu, usart, ssc, spi, mci, etc.) table 25-1. memory map offset register name access reset state 0x100 receive pointer register periph (1) _rpr read/write 0 0x104 receive counter register periph_rcr read/write 0 0x108 transmit pointer register periph_tpr read/write 0 0x10c transmit counter regi ster periph_tcr read/write 0 0x110 receive next pointer register periph_rnpr read/write 0 0x114 receive next counter register periph_rncr read/write 0 0x118 transmit next pointer register periph_tnpr read/write 0 0x11c transmit next counter register periph_tncr read/write 0 0x120 transfer control register periph_ptcr write 0 0x124 transfer status register periph_ptsr read 0
245 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.1 receive pointer register register name: periph_rpr access type: read/write ? rxptr: receive pointer register rxptr must be set to receive buffer address. when a half duplex peripheral is connected to the pdc, rxptr = txptr. 31 30 29 28 27 26 25 24 rxptr 23 22 21 20 19 18 17 16 rxptr 15 14 13 12 11 10 9 8 rxptr 76543210 rxptr
246 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.2 receive counter register register name: periph_rcr access type: read/write ? rxctr: receive counter register rxctr must be set to receive buffer size. when a half duplex peripheral is connected to the pdc, rxctr = txctr. 0 = stops peripheral data transfer to the receiver 1 - 65535 = starts peripheral data transfer if corresponding channel is active 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rxctr 76543210 rxctr
247 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.3 transmit pointer register register name: periph_tpr access type: read/write ? txptr: transmit counter register txptr must be set to transmit buffer address. when a half duplex peripheral is connected to the pdc, rxptr = txptr. 31 30 29 28 27 26 25 24 txptr 23 22 21 20 19 18 17 16 txptr 15 14 13 12 11 10 9 8 txptr 76543210 txptr
248 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.4 transmit counter register register name: periph_tcr access type: read/write ? txctr: transmit counter register txctr must be set to transmit buffer size. when a half duplex peripheral is connected to the pdc, rxctr = txctr. 0 = stops peripheral data transfer to the transmitter 1- 65535 = starts peripheral data transfer if corresponding channel is active 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txctr 76543210 txctr
249 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.5 receive next pointer register register name: periph_rnpr access type: read/write ? rxnptr: receive next pointer rxnptr contains next receive buffer address. when a half duplex peripheral is connected to the pdc, rxnptr = txnptr. 31 30 29 28 27 26 25 24 rxnptr 23 22 21 20 19 18 17 16 rxnptr 15 14 13 12 11 10 9 8 rxnptr 76543210 rxnptr
250 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.6 receive next counter register register name: periph_rncr access type: read/write ? rxnctr: receive next counter rxnctr contains next receive buffer size. when a half duplex peripheral is connected to the pdc, rxnctr = txnctr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rxnctr 76543210 rxnctr
251 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.7 transmit next pointer register register name: periph_tnpr access type: read/write ? txnptr: transmit next pointer txnptr contains next transmit buffer address. when a half duplex peripheral is connected to the pdc, rxnptr = txnptr. 31 30 29 28 27 26 25 24 txnptr 23 22 21 20 19 18 17 16 txnptr 15 14 13 12 11 10 9 8 txnptr 76543210 txnptr
252 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.8 transmit next counter register register name: periph_tncr access type: read/write ? txnctr: transmit counter next txnctr contains next transmit buffer size. when a half duplex peripheral is connected to the pdc, rxnctr = txnctr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txnctr 76543210 txnctr
253 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.9 transfer control register register name: periph_ptcr access type: write ? rxten: receiver transfer enable 0 = no effect. 1 = enables pdc receiver channel requests if rxtdis is not set. when a half duplex peripheral is connected to the pdc, en abling the receiver channel requests automatically disables the transmitter channel requests. it is forbidden to set both txten and rxten for a half duplex peripheral. ? rxtdis: receiver transfer disable 0 = no effect. 1 = disables the pdc receiver channel requests. when a half duplex peripheral is connecte d to the pdc, disabling the receiver chann el requests also disables the transmit- ter channel requests. ? txten: transmitter transfer enable 0 = no effect. 1 = enables the pdc transmitter channel requests. when a half duplex peripheral is connected to the pdc, it en ables the transmitter channel requests only if rxten is not set. it is forbidden to set both txten and rxten for a half duplex peripheral. ? txtdis: transmitter transfer disable 0 = no effect. 1 = disables the pdc transmitter channel requests. when a half duplex peripheral is connected to the pdc, dis abling the transmitter channel requests disables the receiver channel requests. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????txtdistxten 76543210 ??????rxtdisrxten
254 6289d?atarm?3-oct-11 at91sam9r64/rl64 25.4.10 transfer status register register name: periph_ptsr access type: read ? rxten: receiver transfer enable 0 = pdc receiver channel requests are disabled. 1 = pdc receiver channel requests are enabled. ? txten: transmitter transfer enable 0 = pdc transmitter channel requests are disabled. 1 = pdc transmitter channel requests are enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????txten 76543210 ???????rxten
255 6289d?atarm?3-oct-11 at91sam9r64/rl64 26. clock generator 26.1 description the clock generator is ma de up of one pll, a 12 mhz main oscillator, as well as an rc oscil- lator and a 32,768 hz low-power oscillator. it provides the following clocks: ? slck, the slow clock, which is the only permanent clock within the system ? mainck is the output of the 12 mhz main oscillator ? pllck is the output of the divider and pll block the clock generator user interface is embedded within the power management controller one and is described in section 27.8 . however, the clock generator registers are named ckgr_. 26.2 slow clock crystal oscillator the clock generator int egrates a 32,768 hz low-power osc illator. the xin32 and xout32 pins must be connected to a 32,768 hz crystal. two external capacitors must be wired as shown in figure 26-1 . figure 26-1. typical slow clock crystal oscillator connection 26.3 slow clock rc oscillator the user has to take into accoun t the possible drifts of the rc oscillator. more details are given in the section ?dc characteristics? of the product datasheet. 26.4 slow clock selection the at91sam9r64/rl64 slow clock can be generated ei ther by an external 32,768 hz crystal or by the on-chip rc oscillator. the 32,768 hz crystal oscillator can be bypassed by setting the bit osc32byp to accept an external slow clock on xin32. the internal rc oscillator and th e 32,768 hz oscillator can be en abled by setting to 1, respec- tively, rcen bit and osc32en bit in the syst em controller user interface. the oscsel command selects the slow clock source. by default the at91sam9r64/rl64 slow clock so urce is the internal rc oscillator. system startup time is 4 slow clock periods, typically 125 s. xin32 xout32 gndpll 32,768 hz crystal
256 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 26-2. slow clock selection rcen, osc32en,oscsel and osc32byp bits are located in the slow clock control register (sckcr) located at address 0xfffffd50 in the ba cked up part of the system controller and so are preserved while vddbu is present. after a vddbu power on reset, the default configuration is rcen=1, osc32en=0 and osc- sel=0, allowing the system to star t on the internal rc oscillator. the programmer controls the slow clock switching by software and so must take precautions during the switching phase. 26.4.1 switching from internal rc oscillator to the 32,768 hz crystal to switch from internal rc osc illator to the 32,768 hz crystal, the programmer must execute the following sequence: ? switch the master clock to a source different from slow clock (pll or main oscillator) through the power management controller. ? enable the 32,768 hz oscillator by setting the bit osc32en to 1. ? wait 32,768 hz startup time for clock stabilization (software loop). ? switch from internal rc to 32,768 hz oscillator by se tting the bit oscsel to 1. ? wait 5 slow clock cycles for internal resynchronization. ? disable the rc oscillator by setting the bit rcen to 0. 26.4.2 bypassing the 32,768 hz oscillator following steps must be added to bypass the 32,768 hz oscillator: ? an external clock must be connected on xin32. ? enable the bypass path osc32byp bit set to 1. ? disable the 32,768 hz oscillator by setting the bit osc32en to 0. 26.4.3 switching from 32768 hz crystal to the internal rc oscillator the same procedure must be followed to switch from a 32,768 hz crystal to the internal rc oscillator. ? switch the master cloc k to a source different from slow clock (pll or main oscillator). ? enable the internal rc oscillator by setting the bit rcen to 1. on chip rc osc slow clock slck xin32 xout32 slow clock oscillator clock generator osc32en rcen oscsel osc32byp
257 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? wait internal rc startup time for clock stabilization (software loop). ? switch from 32768 hz oscillator to inte rnal rc by setting the bit oscsel to 0. ? wait 5 slow clock cycles for internal resynchronization. ? disable the 32768 hz oscillator by setting the bit osc32en to 0. 26.4.4 slow clock configuration register name: sckcr address: 0xfffffd50 access: read-write ? rcen: internal rc 0: rc is disabled 1: rc is enabled ? osc32en: 32768 hz oscillator 0: 32768hz oscillator is disabled 1: 32768hz oscillator is enabled ? osc32byp: 32768hz oscillator bypass 0: 32768hz oscillator is not bypassed 1: 32768hz oscillator is bypassed, acce pt an external slow clock on xin32 ? oscsel: slow clock selector 0: slow clock is internal rc 1: slow clock is 32768 hz oscillator 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????oscselosc32byposc32enrcen
258 6289d?atarm?3-oct-11 at91sam9r64/rl64 26.5 main oscillator the main oscillator is designed for a 12 mhz fundamental crystal. the 12 mhz is also used to generate the 480 mhz usb high speed clock (hsck) thanks to the utmi pll (upll). figure 26-3 shows the main oscillator block diagram. figure 26-3. main oscillator block diagram 26.5.1 main oscillator connections the typical crystal connec tion is illustrated in figure 26-4 . for further details on the electrical characteristics of the main oscillator, see t he section ?dc characteristics? of the product datasheet. figure 26-4. typical crystal connection 26.5.2 main oscillator startup time the startup time of the 12 mhz ma in oscillator is given in the sect ion ?dc characteristics? of the product datasheet. 26.5.3 main oscillator control to minimize the power required to start up the sy stem, the main oscillator is disabled after reset and slow clock is selected. the software enable s or disables the main oscillator so as to reduce po wer consumption by clearing the moscen bit in the ma in oscillator regi ster (ckgr_mor). when disabling the main oscillator by clearin g the moscen bit in ckgr_mor, the moscs bit in pmc_sr is automatica lly cleared, indicating the main clock is off. xin xout oscen main oscillator counter oscount moscs mainck main clock slck slow clock 12mhz main oscillator upll hsck 480 mhz utmi xin xout gnd
259 6289d?atarm?3-oct-11 at91sam9r64/rl64 when enabling the main oscillator, the user must initiate the ma in oscillator coun ter with a value corresponding to the startup time of the oscillat or. this startup time depends on the crystal fre- quency connected to the main oscillator. when the moscen bit and the oscount are written in ckgr_mor to enable the main oscil- lator, the moscs bit in pmc_sr (status register) is cleared and the counter starts counting down on the slow clock divided by 8 from the oscount value. since the oscount value is coded with 8 bits, the maximum startup time is about 62 ms. when the counter reaches 0, the moscs bit is set, indicating that the main clock is valid. set- ting the moscs bit in pmc_imr can trigger an interrupt to the processor. 26.5.4 main oscillator bypass the user can input a clock on the device instead of connecting a crystal. in this case, the user has to provide the external clock signal on the xi n pin. the input characteristics of the xin pin under these conditions are given in the product el ectrical characteristics section. the program- mer has to be sure to set the oscbypass bit to 1 and the moscen bit to 0 in the main osc register (ckgr_mor) for the external clock to operate properly. 26.6 divider and pll block the pll embeds an input divider to increase the accuracy of the resulting clock signals. how- ever, the user must respect the pll minimum input frequency when programming the divider. figure 26-5 shows the block diagram of the divider and pll block. figure 26-5. divider and pll block diagram 26.6.1 pll filter the pll requires connection to an external second-order filter through the pllrc pin. figure 26-6 shows a schematic of these filters. divider pllrc div pll mul pllcount lock out slck mainck pllck pll counter
260 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 26-6. pll capacitors and resistors values of r, c1 and c2 to be connected to the pllrc pin must be calculated as a function of the pll input frequency, the pll output frequency and the phase margin. a trade-off has to be found between output signal overshoot and startup time. 26.6.2 divider and phase lock loop programming the divider can be set between 1 and 255 in steps of 1. when a divider field (div) is set to 0, the output of the corresponding divider and the pll out put is a continuous signal at level 0. on reset, each div field is set to 0, thus the corresponding pll input clock is set to 0. the pll allows multiplication of the divider?s out puts. the pll clock signal has a frequency that depends on the respective source signal frequency and on the parameters div and mul. the factor applied to the source signal frequency is (mul + 1)/div. when mul is written to 0, the corresponding pll is disabled and its power consumption is saved. re-enabling the pll can be performed by writing a value higher than 0 in the mul field. whenever the pll is re-enabled or one of its parameters is changed, the lock bit in pmc_sr is automatically cleared. the va lues written in the pllcount field in ckgr_pllr are loaded in the pll counter. the pll counter then decrements at the speed of the slow clock until it reaches 0. at this time, the lock bit is set in pmc_sr and can trigger an interrupt to the pro- cessor. the user has to load the number of slow clock cycles required to cover the pll transient time into the pllcount field. the transient time depends on the pll filter. the initial state of the pll and its target frequency can be calculated using a specific tool provided by atmel. 26.6.3 utmi bias and phase lock loop programming the multiplier is hard-wired to 40 to obtain the usb high speed 480 mhz. gnd c1 c2 pll pllrc r pll pllcount locku upllen slck mainck usbhsck pll counter
261 6289d?atarm?3-oct-11 at91sam9r64/rl64 whenever the pll is enabled by writing upll en in ckgr_uckr, the locku bit in pmc_sr is automatically cleared, the bias is enabled by writing biasen in ckgr_uckr in the same time. the values written in the pllcount field in ckgr_uckr are loaded in the pll counter. the pll counter then decrements at the speed of the slow clock divided by 8 until it reaches 0. at this time, the locku bit is set in pmc_sr and can trigger an interrupt to the processor. the user has to load the number of slow clock cycles required to cover the pll transient time into the pllcount field.
262 6289d?atarm?3-oct-11 at91sam9r64/rl64 27. power management controller (pmc) 27.1 description the power management controller (pmc) optimizes power consumption by controlling all sys- tem and user peripheral clocks. the pmc enables/disables the clock inputs to many of the peripherals and the arm processor. the power management controller provides the following clocks: ? mck, the master clock, programmable from a few hundred hz to the maximum operating frequency of the device. it is available to the modules running permanently, such as the aic and the memory controller. ? processor clock (pck), must be switched off when entering processor in idle mode. ? peripheral clocks, typically mck, provided to the embedded peripherals (usart, ssc, spi, twi, tc, mci, etc.) and independently controllable. in order to reduce the number of clock names in a product, the peripheral clocks are named mck in the product datasheet. ? programmable clock outputs can be selected from the clocks provided by the clock generator and driven on the pckx pins. 27.2 master clock controller the master clock controller provides selection and division of the master clock (mck). mck is the clock provided to all the peripherals and the memory controller. the master clock is selected from one of the clocks provided by the clock generator. selecting the slow clock provides a slow clock signal to the whole device. selecting the main clock saves power consumption of the pll. the master clock controller is made up of a cloc k selector and a prescaler. it also contains a master clock divider which allows the processor clock to be faster than the master clock. the master clock selection is made by writi ng the css field (clock source selection) in pmc_mckr (master clock register). the prescaler supports the division by a power of 2 of the selected clock between 1 and 64. the pres field in pmc_mckr programs the prescaler. the master clock divider can be programmed through the mdiv field in pmc_mckr. each time pmc_mckr is written to define a ne w master clock, the mckr dy bit is cleared in pmc_sr. it reads 0 until the master clock is es tablished. then, the mckrdy bit is set and can trigger an interrupt to the processor. this feature is useful when switching from a high-speed clock to a lower one to inform the software when the change is actually done.
263 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 27-1. master clock controller 27.3 processor clock controller the pmc features a processor clock controller (pck) that implements the processor idle mode. the processor clock can be disabled by writing the system clock disable register (pmc_scdr). the status of this clock (at least for debug purpose) can be read in the system clock status register (pmc_scsr). the processor clock pck is enabled after a reset and is automatically re-enabled by any enabled interrupt. the processor idle mode is ac hieved by disabling the processor clock and entering in wait for interrupt mode. the processor clock is automatically re-enabled by any enabled fast or normal interrupt, or by the reset of the product. when the processor clock is disabled, the curr ent instruction is finished before the clock is stopped, but this does not prevent data transfers from other masters of the system bus. notes: 1. the processor clock is disabled in pmc_scdr register. 2. the arm wait for interrupt mode is entered with cp15 coprocessor operation. 3. refer to the atmel application note ? optimizing power consumption of at91sam9261-based systems ?, lit. no. 6217 for details. 27.4 peripheral clock controller the power management controller controls the clocks of each embedded peripheral by the way of the peripheral clock controller. the user can individually enable and disable the master clock on the peripherals by writing into the peripheral clock enable (pmc_pcer) and periph- eral clock disable (pmc_pcdr) registers. the status of the peripheral clock activity can be read in the peripheral clock status register (pmc_pcsr). when a peripheral clock is disabled, the clock is immediately stopped. the peripheral clocks are automatically disabled after a reset. in order to stop a peri pheral, it is recommended that the syst em software wait until the peripheral has executed its last programmed operation before disabling the clock. this is to avoid data cor- ruption or erroneous behavior of the system. the bit number within the peripheral clock control registers (pmc_pcer, pmc_pcdr, and pmc_pcsr) is the peripheral identifier defined at the product level. generally, the bit number corresponds to the interrupt source number assigned to the peripheral. 27.5 programmable clock output controller the pmc controls 2 signals to be output on ex ternal pins pckx. ea ch signal can be indepen- dently programmed via the pmc_pckx registers. slck master clock prescaler mck pres css master clock divider mainck pllck mdiv to the processor clock controller (pck) pmc_mckr pmc_mckr pmc_mckr
264 6289d?atarm?3-oct-11 at91sam9r64/rl64 pckx can be independently selected between the slow clock, the pll output and the main clock by writing the css field in pmc_pckx. each output signal can also be divided by a power of 2 between 1 and 64 by writing the pres (prescaler) field in pmc_pckx. each output signal can be enabled and disabled by writing 1 in the corresponding bit, pckx of pmc_scer and pmc_scdr, respectively. status of the active programmable output clocks are given in the pckx bits of pmc_scsr (system clock status register). moreover, like the pck, a status bit in pmc_sr indicates that the programmable clock is actu- ally what has been programmed in the programmable clock registers. as the programmable clock controller does not manage with glitch prevention when switching clocks, it is strongly recommended to disable the programmable clock before any configuration change and to re-enable it after the change is actually performed. 27.6 programming sequence 1. enabling the 12mhz main oscillator: the main oscillator is enabled by setting the moscen field in the ckgr_mor register. in some cases it may be advantageous to define a st art-up time. this can be achieved by writ- ing a value in the oscount field in the ckgr_mor register. once this register has been correctly configured, the user must wait for moscs field in the pmc_sr register to be se t. this can be done either by pollin g the status regist er or by wait- ing the interrupt line to be raised if the associated interrupt to moscs has been enabled in the pmc_ier register. 2. setting pll and divider: all parameters needed to configure pll and the divider are located in the ckgr_pllr register. the div field is used to control divider itself. a value between 0 and 255 can be pro- grammed. divider output is divider input divided by div parameter. by default div parameter is set to 0 which means that divider is turned off. the out field is used to select the pll output frequency range. the mul field is the pll multiplier factor. this parameter can be programmed between 0 and 2047. if mul is set to 0, pll will be turn ed off, otherwise the pll output frequency is pll input frequency mult iplied by (mul + 1). the pllcount field specifies the number of sl ow clock cycles before lock bit is set in the pmc_sr register after ckgr_pllr register has been written. once the pmc_pll register has been written, the user must wait for the lock bit to be set in the pmc_sr register. this ca n be done either by polling the st atus register or by waiting the interrupt line to be raised if the associated interrupt to lock has been enabled in the pmc_ier register. all parameters in ckgr _pllr can be programmed in a single write operation. if at some stage one of the following parameters, mul, div is modified, lock bit will go low to indicate that pl l is not ready yet. when pll is locked, lock will be set again. the user is constrained to wait for lock bi t to be set before using the pll output clock. code example: write_register(ckgr_pllr,0x00040805)
265 6289d?atarm?3-oct-11 at91sam9r64/rl64 if pll and divider are enabled, the pll input clock is the main clock. pll output clock is pll input clock multiplied by 5. on ce ckgr_pllr has been written, lock bit will be set after eight slow clock cycles. 3. setting bias and high speed pll (upll) for utmi the utmi pll is enabled by setting the uplle n field in the ckgr_uckr register. the utmi bias must be enabled by setting the biasen field in the ckgr_uckr register in the same time. in some cases it may be advantageous to define a start-up time. this can be achieved by writing a value in the pllcount field in the ckgr_uckr register. note: if utmi bias is not enabled, the usb device works only in full speed mode. once this register has been correctly configured, the user must wait for locku field in the pmc_sr register to be se t. this can be done either by pollin g the status regist er or by wait- ing the interrupt line to be raised if the associated interrupt to locku has been enabled in the pmc_ier register. 4. selection of master clock and processor clock the master clock and the processor clock are configurable via the pmc_mckr register. the css field is used to select the master clock divider source. by default, the selected clock source is slow clock. the pres field is used to control the master clock prescaler. the user can choose between different values (1, 2, 4, 8, 16, 32, 64). master clock output is prescaler input divided by pres parameter. by default, pres parameter is set to 1 which means that master clock is equal to slow clock. the mdiv field is used to control the master clock prescaler. it is possible to choose between different values (0, 1, 2). the master clock output is processor clock divided by 1, 2 or 4, depending on the value programmed in mdiv. by default, mdiv is set to 0, which indicates that the processor clock is equal to the master clock. once the pmc_mckr register has been written, the user must wait for the mckrdy bit to be set in the pmc_sr register. this can be done either by polling the status register or by waiting for the interrupt line to be raised if the associated interrupt to mckrdy has been enabled in the pmc_ier register. the pmc_mckr register must not be programmed in a single write operation. the pre- ferred programming sequence for the pmc_mckr register is as follows: ? if a new value for css field corresponds to pll clock, ? program the pres field in the pmc_mckr register. ? wait for the mckrdy bit to be set in the pmc_sr register. ? program the css field in the pmc_mckr register. ? wait for the mckrdy bit to be set in the pmc_sr register. ? if a new value for css field corresponds to main clock or slow clock, ? program the css field in the pmc_mckr register. ? wait for the mckrdy bit to be set in the pmc_sr register.
266 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? program the pres field in the pmc_mckr register. ? wait for the mckrdy bit to be set in the pmc_sr register. if at some stage one of the following parameters, css or pres, is modified, the mckrdy bit will go low to indicate that the master clock and the processor clock are not ready yet. the user must wait for mckrdy bit to be set again before using the master and processor clocks. note: if pllx clock was selected as the master clock and the user decides to modify it by writing in ckgr_pllr, the mckrdy flag will go low while pll is unlocked. once pll is locked again, lock goes high and mckrdy is set. while pll is unlocked, the master clock selection is automatically changed to main clock. for fur- ther information, see section 27.7.2 . ?clock switching waveforms? on page 268 . code example: write_register(pmc_mckr,0x00000001) wait (mckrdy=1) write_register(pmc_mckr,0x00000011) wait (mckrdy=1) the master clock is main clock divided by 16. the processor clock is the master clock. 5. selection of programmable clocks programmable clocks are controlled via registers; pmc_scer, pmc_scdr and pmc_scsr. programmable clocks can be enabled and/or disabled via the pmc_scer and pmc_scdr registers. depending on the system used, 2 programmable cl ocks can be enabled or dis- abled. the pmc_scsr provides a clear indi cation as to which programmable clock is enabled. by default all programmable clocks are disabled. pmc_pckx registers are used to configure programmable clocks. the css field is used to select the programmable clock divider source. four clock options are available: main clock, slow clock, pllck. by default, the clock source selected is slow clock. the pres field is used to control the programmable clock prescaler. it is possible to choose between different values (1, 2, 4, 8, 16, 32, 64). programmable clock output is prescaler input divided by pres parameter. by default, the pres parameter is set to 1 which means that master clock is equal to slow clock. once the pmc_pckx register has been pr ogrammed, the corresponding programmable clock must be enabled and the user is constrai ned to wait for the pckrdyx bit to be set in the pmc_sr register. this can be done either by polling the status register or by waiting the interrupt line to be raised if the associated interrupt to pckrdyx has been enabled in the pmc_ier register. all parameters in pmc_ pckx can be programmed in a single write operation. if the css and pres parameters are to be modified, the corresponding programmable clock must be disabled first. the parameters can then be modified. once this has been
267 6289d?atarm?3-oct-11 at91sam9r64/rl64 done, the user must re-enable the programmable clock and wait for the pckrdyx bit to be set. code example: write_register(pmc_pck0,0x00000015) programmable clock 0 is main clock divided by 32. 6. enabling peripheral clocks once all of the previous steps have been completed, the peripheral clocks can be enabled and/or disabled via registers pmc_pcer and pmc_pcdr. depending on the system used, 19 peripheral clocks ca n be enabled or disabled. the pmc_pcsr provides a clear view as to which peripheral clock is enabled. note: each enabled peripheral clock corresponds to master clock. code examples: write_register(pmc_pcer,0x00000110) peripheral clocks 4 and 8 are enabled. write_register(pmc_pcdr,0x00000010) peripheral clock 4 is disabled. 27.7 clock switching details 27.7.1 master clock switching timings table 27-1 gives the worst case timings required fo r the master clock to switch from one selected clock to another one. this is in the event that the prescaler is de-activated. when the prescaler is activated, an additional time of 64 clock cycles of the new selected clock has to be added. table 27-1. clock switching timings (worst case) from main clock slck pll clock to
268 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.7.2 clock switching waveforms figure 27-2. switch master clock from slow clock to pll clock main clock ? 4 x slck + 2.5 x main clock 3 x pll clock + 4 x slck + 1 x main clock slck 0.5 x main clock + 4.5 x slck ? 3 x pll clock + 5 x slck pll clock 0.5 x main clock + 4 x slck + pllcount x slck + 2.5 x pllx clock 2.5 x pll clock + 5 x slck + pllcount x slck 2.5 x pll clock + 4 x slck + pllcount x slck table 27-1. clock switching timings (worst case) from main clock slck pll clock slow clock lock mckrdy master clock write pmc_mckr pll clock
269 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 27-3. switch master clock from main clock to slow clock figure 27-4. change pll programming slow clock main clock mckrdy master clock write pmc_mckr main clock main clock pll clock lock mckrdy master clock write ckgr_pllr
270 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 27-5. programmable clock output programming pll clock pckrdy pckx output write pmc_pckx write pmc_scer write pmc_scdr pckx is disabled pckx is enabled pll clock is selected
271 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8 power management contro ller (pmc) user interface table 27-2. register mapping offset register name access reset value 0x0000 system clock enable register pmc_scer write-only ? 0x0004 system clock disable register pmc_scdr write-only ? 0x0008 system clock status register pmc _scsr read-only 0x01 0x000c reserved ? ? ? 0x0010 peripheral clock enable register pmc _pcer write-only ? 0x0014 peripheral clock disable register pmc_pcdr write-only ? 0x0018 peripheral clock status register pmc_pcsr read-only 0x0 0x001c utmi clock register ckgr_uckr read-write 0x0 0x0020 main oscillator register ckgr_mor read-write 0x0 0x0024 main clock frequency register ckgr_mcfr read-only 0x0 0x0028 pll register ckgr_pllr read-write 0x3f00 0x002c reserved ? ? ? 0x0030 master clock register pmc_mckr read-write 0x0 0x0038 reserved ? ? ? 0x003c reserved ? ? ? 0x0040 programmable clock 0 register pmc_pck0 read-write 0x0 0x0044 programmable clock 1 register pmc_pck1 read-write 0x0 0x0048 - 0x005c reserved ? ? ? 0x0060 interrupt enable register pmc_ier write-only -- 0x0064 interrupt disable register pmc_idr write-only -- 0x0068 status register pmc_sr read-only 0x08 0x006c interrupt mask register pmc_imr read-only 0x0 0x0070 - 0x007c reserved ? ? ?
272 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.1 pmc system clock enable register name: pmc_scer access: write-only ? pckx: programmable clock x output enable 0 = no effect. 1 = enables the corresponding programmable clock output. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pck1pck0 76543210 ????????
273 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.2 pmc system clock disable register name: pmc_scdr access: write-only ? pck: processor clock disable 0 = no effect. 1 = disables the processor clock. this is used to enter the processor in idle mode. ? pckx: programmable clock x output disable 0 = no effect. 1 = disables the corresponding programmable clock output. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pck1pck0 76543210 ???????pck
274 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.3 pmc system clock status register name: pmc_scsr access: read-only ? pck: processor clock status 0 = the processor clock is disabled. 1 = the processor clock is enabled. ? pckx: programmable clock x output status 0 = the corresponding programmable clock output is disabled. 1 = the corresponding programmable clock output is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pck1pck0 76543210 ???????pck
275 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.4 pmc peripheral clock enable register name: pmc_pcer access: write-only ? pidx: peripheral clock x enable 0 = no effect. 1 = enables the corresponding peripheral clock. note: pid2 to pid31 refer to identifiers as defined in the section ?peripheral identifiers ? in the product datasheet. note: programming the control bits of the peripheral id that ar e not implemented has no effect on the behavior of the pmc. 27.8.5 pmc peripheral clock disable register name: pmc_pcdr access: write-only ? pidx: peripheral clock x disable 0 = no effect. 1 = disables the corresponding peripheral clock. note: pid2 to pid31 refer to identifiers as defined in the section ?peripheral identifiers ? in the product datasheet. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 - - 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 - -
276 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.6 pmc peripheral clock status register name: pmc_pcsr access: read-only ? pidx: peripheral clock x status 0 = the corresponding peripheral clock is disabled. 1 = the corresponding peripheral clock is enabled. note: pid2 to pid31 refer to identifiers as defined in the section ?peripheral identifiers ? in the product datasheet. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 ? ?
277 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.7 pmc utmi clock configuration register name: ckgr_uckr access: read-write ? upllen: utmi pll enable 0 = the utmi pll is disabled. 1 = the utmi pll is enabled. when upllen is set, the locku flag is set on ce the utmi pll startup time is achieved. ? pllcount: utmi pll start-up time specifies the number of slow clock cycles multiplied by 8 for the utmi pll start-up time. ? biasen: utmi bias enable 0 = the utmi bias is disabled. the usb device works only in fs mode. 1 = the utmi bias is enabled. th e usb device works in hs mode. ? biascount: utmi bias start-up time specifies the number of slow clock cycles for the utmi bias start-up time. 31 30 29 28 27 26 25 24 biascount ? ? ? biasen 23 22 21 20 19 18 17 16 pllcount ? ? ? upllen 15 14 13 12 11 10 9 8 ???????? 76543210 ????????
278 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.8 pmc clock generator main oscillator register name: ckgr_mor access: read-write ? moscen: main oscillator enable a crystal must be connected between xin and xout. 0 = the main oscillator is disabled. 1 = the main oscillator is enabl ed. oscbypass must be set to 0. when moscen is set, the moscs flag is set once the main oscillator startup time is achieved. ? oscbypass: oscillator bypass 0 = no effect. 1 = the main oscillator is bypassed. moscen must be set to 0. an exter nal clock must be connected on xin. when oscbypass is set, th e moscs flag in pmc_sr is automatically set. clearing moscen and oscbypass bits allows resetting the moscs flag. ? oscount: main oscillator start-up time specifies the number of slow clock cycles multip lied by 8 for the main o scillator start-up time. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 oscount 76543210 ??????oscbypassmoscen
279 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.9 pmc clock generator main clock frequency register name: ckgr_mcfr access: read-only ? mainf: main clock frequency gives the number of main clock cycles within 16 slow clock periods. ? mainrdy: main clock ready 0 = mainf value is not valid or the main oscillator is disabled. 1 = the main oscillator has been enabled pr eviously and mainf value is available. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????mainrdy 15 14 13 12 11 10 9 8 mainf 76543210 mainf
280 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.10 pmc clock generator pll register name: ckgr_pllr access: read-write possible limitations on pll input frequencies and multiplier factors should be checked before using the pmc. warning : bit 29 must always be set to 1 when programming the ckgr_pllr register. ?div: divider ? pllcount: pll counter specifies the number of slow clock cycles before the lo ck bit is set in pmc_sr after ckgr_pllr is written. ? out: pll clock frequency range to optimize clock performance, this field must be programmed as specified in ?pll characteristics? in the electrical char- acteristics section of the product datasheet. ? mul: pll multiplier 0 = the pll is deactivated. 1 up to 2047 = the pll clock frequency is the pll input frequency multiplied by mul+ 1. 31 30 29 28 27 26 25 24 ??1?? mul 23 22 21 20 19 18 17 16 mul 15 14 13 12 11 10 9 8 out pllcount 76543210 div div divider selected 0 divider output is 0 1 divider is bypassed 2 - 255 divider output is the selected clock divided by div.
281 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.11 pmc master clock register name: pmc_mckr access: read-write ? css: master clock selection ? pres: processor clock prescaler ? mdiv: master clock division 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????? mdiv 76543210 ? ? ? pres css css clock source selection 0 0 slow clock is selected 0 1 main clock is selected 1 0 pll clock is selected. 11reserved pres processor clock 0 0 0 selected clock 0 0 1 selected clock divided by 2 0 1 0 selected clock divided by 4 0 1 1 selected clock divided by 8 1 0 0 selected clock divided by 16 1 0 1 selected clock divided by 32 1 1 0 selected clock divided by 64 111reserved mdiv master clock division 0 0 master clock is processor clock. 0 1 master clock is proce ssor clock divided by 2. 1 0 master clock is proce ssor clock divided by 4. 1 1 reserved.
282 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.12 pmc programmable clock register name: pmc_pckx access: read-write ? css: master clock selection ? pres: programmable clock prescaler 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? pres css css clock source selection 0 0 slow clock is selected 0 1 main clock is selected 1 0 pll clock is selected. 11reserved pres programmable clock 0 0 0 selected clock 0 0 1 selected clock divided by 2 0 1 0 selected clock divided by 4 0 1 1 selected clock divided by 8 1 0 0 selected clock divided by 16 1 0 1 selected clock divided by 32 1 1 0 selected clock divided by 64 111reserved
283 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.13 pmc interrupt enable register name: pmc_ier access: write-only ? moscs: main oscillator status interrupt enable ? lock: pll lock interrupt enable ? mckrdy: master clock ready interrupt enable ? locku: utmi pll lock interrupt enable ? pckrdyx: programmable clock ready x interrupt enable 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pckrdy1pckrdy0 76543210 ?locku ? ? mckrdy ? lock moscs
284 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.14 pmc interrupt disable register name: pmc_idr access: write-only ? moscs: main oscillator status interrupt disable ? lock: pll lock interrupt disable ? mckrdy: master clock ready interrupt disable ? locku: utmi pll lock interrupt disable ? pckrdyx: programmable clock ready x interrupt disable 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pckrdy1pckrdy0 76543210 ? locku ?? mckrdy ? lock moscs
285 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.15 pmc status register name: pmc_sr access: read-only ? moscs: moscs flag status 0 = main oscillator is not stabilized. 1 = main oscillator is stabilized. ? lock: pll lock status 0 = pll is not locked 1 = pll is locked. ? mckrdy: master clock status 0 = master clock is not ready. 1 = master clock is ready. ? locku: upll lock status 0 = upll is not locked 1 = upll is locked. ? osc_sel: slow clock oscillator 0 = internal slow clock rc oscillator is selected. 1 = external slow clock 32 khz oscillator is selected. ? pckrdyx: programmable clock ready status 0 = programmable clock x is not ready. 1 = programmable clock x is ready. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pckrdy1pckrdy0 76543210 osc_sel locku ?? mckrdy ? lock moscs
286 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.8.16 pmc interrupt mask register name: pmc_imr access: read-only ? moscs: main oscillator status interrupt mask ? lock: pll lock interrupt mask ? mckrdy: master clock ready interrupt mask ? locku: utmi pll lock interrupt mask ? pckrdyx: programmable clock ready x interrupt mask 0 = the corresponding interrupt is enabled. 1 = the corresponding interrupt is disabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????pckrdy1pckrdy0 76543210 ? locku ?? mckrdy ? lock moscs
287 6289d?atarm?3-oct-11 at91sam9r64/rl64 28. at91sam9r64/rl64 bus matrix 28.1 description bus matrix implements a multi-layer ahb, based on ahb-lite protocol, that enables parallel access paths between multiple ah b masters and slaves in a syst em, which increases the over- all bandwidth. bus matrix interconnects 6 ahb masters to 6 ahb slaves. the normal latency to connect a master to a slave is one cycle except for the default master of the accessed slave which is connected directly (zero cycle latency). the bus matrix user interface is compliant with arm advanced peripheral bus and provides a chip configuration user interface with registers that allow the bus matrix to support application specific features. 28.2 memory mapping bus matrix provides one decoder for every ahb master interface. the decoder offers each ahb master several memory mappings. in fact, depending on the product, each memory area may be assigned to several slaves. booting at the same address while using different ahb slaves (i.e., external ram, internal rom or internal flash, etc.) becomes possible. the bus matrix user interface provides mast er remap control regist er (matrix_mrcr) that allows to perform remap action for every master independently. 28.3 special bus granting techniques the bus matrix provides some speculative bus granting techniques in order to anticipate access requests from some masters. this mechanism a llows to reduce latency at first accesses of a burst or single transfer. the bus granting mechanism allows to set a default master for every slave. at the end of the current access, if no other re quest is pending, the slave remains connected to its associated default master. a slave can be as sociated with three kinds of default masters: no default master, last access master and fixed default master. 28.3.1 no default master at the end of the current access, if no other request is pending, the slave is disconnected from all masters. no default ma ster, suits low power mode. 28.3.2 last access master at the end of the current access, if no other re quest is pending, the slave remains connected to the last master that performed an access request. 28.3.3 fixed default master at the end of the current access, if no other r equest is pending, the slave connects to its fixed default master. unlike last access master, the fixed master doesn?t change unless the user mod- ifies it by a software acti on (field fixed_defmstr of the related matrix_scfg). to change from one kind of default master to another, the bus matrix user interface provides the slave configuration registers, one for each slave, that allow to set a default master for each slave. the slave configuration register contains two fields: defmstr_type and fixed_defmstr. the 2- bit defmstr_type field allows to choose the default master type (no default, last access master, fixed default master) whereas the 4-bit
288 6289d?atarm?3-oct-11 at91sam9r64/rl64 fixed_defmstr field allows to choose a fixed default master provided that defmstr_type is set to fixed default master. please refer to the bus matrix user interface description. 28.4 arbitration the bus matrix provides an arbitration mechani sm that allows to reduce latency when conflict cases occur, basically when two or more masters try to access the same sl ave at the same time. one arbiter per ahb slave is provided, allowing to arbitrate each slave differently. the bus matrix provides to the user the possi bility to choose between 2 arbitration types, and this for each slave: 1. round-robin arbitration (the default) 2. fixed priority arbitration this choice is given through the field arbt of the slave configuration registers (matrix_scfg). each algorithm may be complemented by selecting a default master configuration for each slave. when a re-arbitration has to be done, it is realiz ed only under some spec ific conditions detailed in the following paragraph. 28.4.1 arbitration rules each arbiter has the ability to arbi trate between two or more differ ent master?s requests. in order to avoid burst breaking and also to provide the maximum throughput for slave interfaces, arbitra- tion may only take place during the following cycles: 1. idle cycles: when a slave is not connected to any master or is connected to a master which is not currently accessing it. 2. single cycles: when a slave is currently doing a single access. 3. end of burst cycles: when the current cycle is the last cycle of a burst transfer. for defined length burst, predicted end of burst matches the size of the transfer but is man- aged differently for undefined length burst (see ?undefined length burst arbitration? on page iv.). 4. slot cycle limit: when the slot cycle counter has reach the limit value indicating that the current master access is too long and must be broken (see ?slot cycle limit arbitra- tion? on page iv.). 28.4.1.1 undefined length burst arbitration in order to avoid too long slave handling durin g undefined length bursts (incr), the bus matrix provides specific logic in order to re-arbitrate before the end of the incr transfer. a predicted end of burst is used as for defined length burst transfer, which is selected between the following: 1. infinite: no predicted end of burst is generated and therefore incr burst transfer will never be broken. 2. four beat bursts: predicted end of burst is generated at the end of each four beat boundary inside incr transfer. 3. eight beat bursts: predicted end of burst is generated at the end of each eight beat boundary inside incr transfer. 4. sixteen beat bursts: predicted end of burst is generated at the end of each sixteen beat boundary inside incr transfer.
289 6289d?atarm?3-oct-11 at91sam9r64/rl64 this selection can be done through the field ulbt of the master configuration registers (matrix_mcfg). 28.4.1.2 slot cycle limit arbitration the bus matrix contains specific logic to break too long accesses such as very long bursts on a very slow slave (e.g. an external low speed memory). at the beginning of the burst access, a counter is loaded with the value previously written in the slot_cycle field of the related slave configuration register (matrix_scfg) and decreased at each clock cycle. when the counter reaches zero, the arbiter has the ab ility to re-arbitrate at the end of the current byte, half word or word transfer. 28.4.2 round-robin arbitration this algorithm allows the bus matrix arbiters to dispatch the requests from different masters to the same slave in a round-robin manner. if two or more master?s requests arise at the same time, the master with the lowest number is first serviced then the others are serviced in a round- robin manner. there are three round-robin algorithm implemented: ? round-robin arbitration without default master ? round-robin arbitration with last access master ? round-robin arbitration with fixed default master 28.4.2.1 round-robin arbitration without default master this is the main algorithm used by bus matrix arbiters. it allows the bus matrix to dispatch requests from different masters to the same slave in a pure round-robin manner. at the end of the current access, if no other request is pending, the slave is disconnected from all masters. this configuration incurs one latency cycle for the first access of a burst. arbitration without default master can be used for masters that perform significant bursts. 28.4.2.2 round-robin arbitration with last access master this is a biased round-robin algorithm used by bus matrix arbiters. it allows the bus matrix to remove the one late ncy cycle for the last master that acce ssed the slave. in fact, at the end of the current transfer, if no other master request is pending, the slave remains connected to the last master that performs t he access. other non privileged ma sters will still get one latency cycle if they want to access the same slave. this technique can be used for masters that mainly per- form single accesses. 28.4.2.3 round-robin arbitration with fixed default master this is another biased round-robin algorithm, it allows the bus matrix arbiters to remove the one latency cycle for the fixed default master per slav e. at the end of the current access, the slave remains connected to its fixed default master. every request attempted by this fixed default mas- ter will not cause any latency whereas other non privileged masters w ill still get one latency cycle. this technique can be used for masters that mainly perform single accesses. 28.4.3 fixed priority arbitration this algorithm allows the bus matrix arbiters to dispatch the requests from different masters to the same slave by using the fixed priority defin ed by the user. if two or more master?s requests are active at the same time, the master with the highest priority number is serviced first. if two or
290 6289d?atarm?3-oct-11 at91sam9r64/rl64 more master?s requests with the same priority are active at the same time, the master with the highest number is serviced first. for each slave, the priority of each master may be defined through the priority registers for slaves (matrix_pras and matrix_prbs).
291 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5 bus matrix user interface table 28-1. register mapping offset register name access reset value 0x0000 master configuration register 0 matrix_mcfg0 read/write 0x00000000 0x0004 master configuration register 1 matrix_mcfg1 read/write 0x00000000 0x0008 master configuration register 2 matrix_mcfg2 read/write 0x00000000 0x000c master configuration regist er 3 matrix_mcfg3 read/write 0x00000000 0x0010 master configuration register 4 matrix_mcfg4 read/write 0x00000000 0x0014 master configuration register 5 matrix_mcfg5 read/write 0x00000000 0x0018 - 0x003c reserved ? ? ? 0x0040 slave configuration register 0 matrix_scfg0 read/write 0x00010010 0x0044 slave configuration register 1 matrix_scfg1 read/write 0x00050010 0x0048 slave configuration register 2 matrix_scfg2 read/write 0x00000010 0x004c slave configuration register 3 matrix_scfg3 read/write 0x00000010 0x0050 slave configuration register 4 matrix_scfg4 read/write 0x00000010 0x0054 slave configuration register 5 matrix_scfg5 read/write 0x00000010 0x0058 - 0x007c reserved ? ? ? 0x0080 priority register a for slave 0 matrix_pras0 write 0x00000000 0x0084 reserved - - - 0x0088 priority register a for slave 1 matrix_pras1 write 0x00000000 0x008c reserved - - - 0x0090 priority register a for slave 2 matrix_pras2 write 0x00000000 0x0094 reserved - - - 0x0098 priority register a for slave 3 matrix_pras3 write 0x00000000 0x009c reserved - - - 0x00a0 priority register a for slave 4 matrix_pras4 write 0x00000000 0x00a4 reserved - - - 0x00a8 priority register a for slave 5 matrix_pras5 write 0x00000000 0x00a8 - 0x00fc reserved ? ? ? 0x0100 master remap control register matrix_mrcr read/write 0x00000000 0x0104 - 0x010c reserved ? ? ? 0x0110 reserved ? ? ? 0x0114 bus matrix tcm configuration register matrix_tcr read/write 0x00000000 0x0118-0x11c reserved ? ? ? 0x0120 ebi chip select assignment register ebi_csa read/write 0x00010000 0x0124 - 0x01fc reserved ? ? ?
292 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.1 bus matrix master configuration registers register name: matrix_mcfg0...matrix_mcfg5 access type: read/write ? ulbt: undefined length burst type 0: infinite length burst no predicted end of burst is generated and therefore incr bursts coming from this master cannot be broken. 1: single access the undefined length burst is treated as a succession of single accesses, allowing rearbitration at each beat of the incr burst. 2: four-beat burst the undefined length burst is split into four-beat burst allowing rearbitration at each four-beat burst end. 3: eight-beat burst the undefined length burst is split into eight-beat burst allowing rearbitration at each eight-beat burst end. 4: sixteen-beat burst the undefined length burst is split into sixteen-beat bur st allowing rearbitration at each sixteen-beat burst end. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????? ulbt
293 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.2 bus matrix slave configuration registers register name: matrix_scfg0...matrix_scfg5 access type: read/write ? slot_cycle: maximum number of allowed cycles for a burst when the slot_cycle limit is reached for a burst, it may be broken by another master tr ying to access this slave. this limit has been placed to avoid locking a very slow slave when very long bursts are used. note that an unreasonably small value breaks every burst and the bus matrix then arbitrates without performing any data transfer. 16 cycles is a reasonable value for slot_cycle. ? defmastr_type: default master type 0: no default master at the end of current slave access, if no other master request is pending, the slave is disconnected from all masters. this results in a one-cycle late ncy for the first access of a burs t transfer or for a single access. 1: last default master at the end of current slave access, if no other master reques t is pending, the slave remains connected to the last master that accessed it. this results in not having the one cycle latency when the last master tries access to the slave again. 2: fixed default master at the end of the current slave access, if no other master r equest is pending, the slave connec ts to the fixed master the number of which has been written in the fixed_defmstr field. this results in not having the one cycle latency when the fixed master tries access to the slave again. ? fixed_defmstr: fixed default master this is the number of the default master for this slave. only used if defmastr_type is 2. specifying the number of a master which is not connected to the selected slave is equivale nt to setting defmastr_type to 0. ? arbt: arbitration type 0: round-robin arbitration 1: fixed priority arbitration 2: reserved 3: reserved 31 30 29 28 27 26 25 24 ?????? arbt 23 22 21 20 19 18 17 16 ? fixed_defmstr defmstr_type 15 14 13 12 11 10 9 8 ???????? 76543210 slot_cycle
294 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.3 bus matrix priority registers a for slaves register name: matrix_pras0...matrix_pras5 access type: write ? mxpr: master x priority fixed priority of master x for accessing to the selected slave.the higher the number, the higher the priority. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?? m5pr ?? m4pr 15 14 13 12 11 10 9 8 ?? m3pr ?? m2pr 76543210 ?? m1pr ?? m0pr
295 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.4 bus matrix master remap control register register name: matrix_mrcr access type: read/write reset: 0x0000_0000 ? rcbx: remap command bit for ahb master x 0: disable remapped address decoding for the selected master. 1: enable remapped address decoding for the selected master. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? rcb5 rcb4 rcb3 rcb2 rcb1 rcb0 table 28-2. at91sam9r/rl64 remap control bits rcbx master rcb0 arm926 instruction rcb1 arm926 data rcb2 peripheral dma controller rcb3 usb device high speed dma rcb4 lcd controller dma rcb5 dma controller
296 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.5 bus matrix tcm configuration register register name: matrix_tcr access type: read/write reset: 0x0000_0000 ? itcm_size: size of itcm enabled memory block 0000: 0 kb (no itcm memory) 0101: 16 kb 0110: 32 kb others: reserved ? dtcm_size: size of dtcm enabled memory block 0000: 0 kb (no dtcm memory) 0101: 16 kb 0110: 32 kb others: reserved 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 dtcm_size itcm_size
297 6289d?atarm?3-oct-11 at91sam9r64/rl64 28.5.6 ebi0 chip select assignment register register name: ebi_csa access type: read/write reset: 0x0001_0000 ? ebi0_cs1a: ebi0 chip select 1 assignment 0 = ebi0 chip select 1 is assigned to the static memory controller. 1 = ebi0 chip select 1 is as signed to the sdram controller. ? ebi0_cs3a: ebi0 chip select 3 assignment 0 = ebi0 chip select 3 is only assigned to the static memo ry controller and ebi0_ncs3 behaves as defined by the smc. 1 = ebi0 chip select 3 is assigned to the static memory controller an d the nand flash logic is activated. ? ebi0_cs4a: ebi0 chip select 4 assignment 0 = ebi0 chip select 4 is only assigned to the static memo ry controller and ebi0_ncs4 behaves as defined by the smc. 1 = ebi0 chip select 4 is assigned to the static memory controller and the compactflash logic (first slot) is activated. ? ebi0_cs5a: ebi0 chip select 5 assignment 0 = ebi0 chip select 5 is only assigned to the static memo ry controller and ebi0_ncs5 behaves as defined by the smc. 1 = ebi0 chip select 5 is assigned to the static memory controller and the compactflash logic (second slot) is activated. ? ebi0_dbpuc: ebi0 data bus pull-up configuration 0 = ebi0 d0 - d15 data bus bits are internally pulled-up to the vddiom0 power supply. 1 = ebi0 d0 - d15 data bus bits are not internally pulled-up. ? vddiomsel: memory voltage selection 0 = memories are 1.8v powered. 1 = memories are 3.3v powered. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????vddiomsel 15 14 13 12 11 10 9 8 ???????ebi_dbpuc 76543210 ? ? ebi_cs5a ebi_cs4a ebi_cs3a ? ebi_cs1a ?
298 6289d?atarm?3-oct-11 at91sam9r64/rl64
299 6289d?atarm?3-oct-11 at91sam9r64/rl64 29. advanced interrupt controller (aic) 29.1 description the advanced interrupt controller (aic) is an 8-level priority, individually maskable, vectored interrupt controller, providing handling of up to thirty-two interrupt sources. it is designed to sub- stantially reduce the software and real-time overhead in handling internal and external interrupts. the aic drives the nfiq (fast interrupt request) and the nirq (standard interrupt request) inputs of an arm processor. inputs of the aic are either internal peripheral interrupts or external inter- rupts coming from the product's pins. the 8-level priority controller allows the user to define the priority for each interrupt source, thus permitting higher priority interrupts to be serviced even if a lower priority interrupt is being treated. internal interrupt sources can be programmed to be level sensitive or edge triggered. external interrupt sources can be programmed to be positive-edge or negative-edge triggered or high- level or low-level sensitive. the fast forcing feature redirects any internal or external interrupt source to provide a fast inter- rupt rather than a normal interrupt.
300 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.2 block diagram figure 29-1. block diagram 29.3 application block diagram figure 29-2. description of the application block 29.4 aic detailed block diagram figure 29-3. aic detailed block diagram aic apb arm processor fiq irq0-irqn embedded peripheralee peripheral embedded peripheral embedded up to thirty-two sources nfiq nirq advanced interrupt controller embedded peripherals external peripherals (external interrupts) standalone applications rtos drivers hard real time tasks os-based applications os drivers general os interrupt handler fiq pio controller advanced interrupt controller irq0-irqn pioirq embedded peripherals external source input stage internal source input stage fast forcing interrupt priority controller fast interrupt controller arm processor nfiq nirq power management controller wake up user interface apb processor clock
301 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.5 i/o line description 29.6 product dependencies 29.6.1 i/o lines the interrupt signals fiq and irq0 to irqn are normally multiplexed through the pio control- lers. depending on the features of the pio controller used in the product, the pins must be programmed in accordance with their assigned interrupt function. this is not applicable when the pio controller used in the product is transparent on the input path. 29.6.2 power management the advanced interrupt controller is continuously clocked. the power management controller has no effect on the advanced interrupt controller behavior. the assertion of the advanced interrupt controller outputs, either nirq or nfiq, wakes up the arm processor while it is in idle mode. the general interrupt mask feature enables the aic to wake up the processor without asserting the interr upt line of the processor, thus providing syn- chronization of the processor on an event. 29.6.3 interrupt sources the interrupt source 0 is always located at fiq. if the product does not feature an fiq pin, the interrupt source 0 cannot be used. the interrupt source 1 is always located at system interrupt. this is the result of the or-wiring of the system peripheral interrupt lines, such as the system timer, the real time clock, the power management controller and the memory controller. when a system interrupt occurs, the service routine must first distinguish the cause of the interrupt. this is performed by reading suc- cessively the status registers of the above mentioned system peripherals. the interrupt sources 2 to 31 can either be connected to the interrupt outputs of an embedded user peripheral or to external interrupt lines . the external interrupt lines can be connected directly, or through the pio controller. the pio controllers are considered as user peripherals in the scope of interrupt handling. accordingly, the pio controller interrupt lines are connected to the interrupt sources 2 to 31. the peripheral identification defined at the product level corresponds to the interrupt source number (as well as the bit number controlling the clock of the peri pheral). conseq uently, to sim- plify the description of the functional operations and the user interface, the interrupt sources are named fiq, sys, and pid2 to pid31. table 29-1. i/o line description pin name pin description type fiq fast interrupt input irq0 - irqn interrupt 0 - interrupt n input
302 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.7 functional description 29.7.1 interrupt source control 29.7.1.1 interrupt source mode the advanced interrupt controller independently programs each interrupt source. the src- type field of the corresponding aic_smr (source mode register) selects the interrupt condition of each source. the internal interrupt sources wired on the interrupt outputs of the embedded peripherals can be programmed either in level-sensitive mode or in edge-triggered mode. the active level of the internal interrupts is not important for the user. the external interrupt sources can be programmed either in high level-sensitive or low level-sen- sitive modes, or in positive edge-triggered or negative edge-triggered modes. 29.7.1.2 interrupt source enabling each interrupt source, including the fiq in source 0, can be enabled or disabled by using the command registers; aic_iecr (interrupt enable command register) and aic_idcr (interrupt disable command register). this set of registers conducts enabling or disabling in one instruc- tion. the interrupt mask can be read in the aic_imr register. a disabled interrupt does not affect servicing of other interrupts. 29.7.1.3 interrupt clearing and setting all interrupt sources programmed to be edge-triggered (including the fiq in source 0) can be individually set or cleared by writing respectively the aic_iscr and aic_iccr registers. clear- ing or setting interrupt sources programmed in level-sensitive mode has no effect. the clear operation is perfunctory, as the softwa re must perform an acti on to reinitialize the ?memorization? circuitry activated when the source is programmed in edge-triggered mode. however, the set operation is available for auto-test or software debug purposes. it can also be used to execute an aic-implementation of a software interrupt. the aic features an automatic clear of the current interrupt when the aic_ivr (interrupt vector register) is read. only the interrupt source being detected by the aic as the current interrupt is affected by this operation. ( see ?priority controller? on page 305. ) the automatic clear reduces the operations required by the interrupt service routine entry code to reading the aic_ivr. note that the automatic interrupt clear is disabled if the interrupt source has the fast forcing feature enabled as it is considered uniquely as a fiq source. (for further details, see ?fast forcing? on page 309. ) the automatic clear of the interrupt source 0 is performed when aic_fvr is read. 29.7.1.4 interrupt status for each interrupt, the aic operation originates in aic_ipr (interrupt pending register) and its mask in aic_imr (interrupt mask register). aic_ipr enables the actual activity of the sources, whether masked or not. the aic_isr register reads the number of the current interrupt (see ?priority controller? on page 305 ) and the register aic_cisr gives an image of the signals nirq and nfiq driven on the processor. each status referred to above can be used to optimize the interrupt handling of the systems.
303 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.7.1.5 internal interrupt source input stage figure 29-4. internal interrupt source input stage 29.7.1.6 external interrupt source input stage figure 29-5. external interrupt source input stage edge detector clear set source i aic_ipr aic_imr aic_iecr aic_idcr aic_iscr aic_iccr fast interrupt controller or priority controller ff level/ edge aic_smri (srctype) edge detector clear set pos./neg. aic_iscr aic_iccr source i ff level/ edge high/low aic_smri srctype aic_ipr aic_imr aic_iecr aic_idcr fast interrupt controller or priority controller
304 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.7.2 interrupt latencies global interrupt latencies depend on several parameters, including: ? the time the software masks the interrupts. ? occurrence, either at the processor level or at the aic level. ? the execution time of the instruction in progress when the interrupt occurs. ? the treatment of higher priority interrupts and the resynchronization of the hardware signals. this section addresses only the hardware resync hronizations. it gives details of the latency times between the event on an external interrupt leading in a valid interrupt (edge or level) or the assertion of an internal interrupt source and the assertion of the nirq or nfiq line on the pro- cessor. the resynchronization time depends on the programming of the interrupt source and on its type (internal or external). for the standard interrupt, resynchronization times are given assuming there is no higher priority in progress. the pio controller multiplexing has no effect on the interrupt latencies of the external interrupt sources. 29.7.2.1 external interrupt edge triggered source figure 29-6. external interrupt edge triggered source 29.7.2.2 external interrupt level sensitive source figure 29-7. external interrupt level sensitive source maximum fiq latency = 4 cycles maximum irq latency = 4 cycles nfiq nirq mck irq or fiq (positive edge) irq or fiq (negative edge) maximum irq latency = 3 cycles maximum fiq latency = 3 cycles mck irq or fiq (high level) irq or fiq (low level) nirq nfiq
305 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.7.2.3 internal interrupt edge triggered source figure 29-8. internal interrupt edge triggered source 29.7.2.4 internal interrupt level sensitive source figure 29-9. internal interrupt level sensitive source 29.7.3 normal interrupt 29.7.3.1 priority controller an 8-level priority controller drives the nirq line of the processor, depending on the interrupt conditions occurring on the interrupt sources 1 to 31 (except for those programmed in fast forcing). each interrupt source has a programmable priority le vel of 7 to 0, which is user-definable by writ- ing the prior field of the corresponding aic_smr (source mode register). level 7 is the highest priority and level 0 the lowest. as soon as an interrupt condition occurs, as defined by the srctype field of the aic_smr (source mode register), the nirq line is asserted. as a new interrupt condition might have hap- pened on other interrupt sources since the nirq has been asserted, the priority controller determines the current interrupt at the time the aic_ivr (interrupt vector register) is read. the read of aic_ivr is the entry point of the interrupt handling which allows the aic to consider that the interrupt has been taken into account by the software. the current priority level is defined as the priority level of the current interrupt. if several interrupt sources of equal priority are pending and enabled when the aic_ivr is read, the interrupt with the lowest interrupt source number is serviced first. mck nirq peripheral interrupt becomes active maximum irq latency = 4.5 cycles mck nirq maximum irq latency = 3.5 cycles peripheral interrupt becomes active
306 6289d?atarm?3-oct-11 at91sam9r64/rl64 the nirq line can be asserted only if an interrupt cond ition occurs on an in terrupt source with a higher priority. if an interrupt condition happens (or is pending) during the interrupt treatment in progress, it is delayed until the software indicates to the aic the end of the current service by writing the aic_eoicr (end of interrupt command register). the write of aic_eoicr is the exit point of the interrupt handling . 29.7.3.2 interrupt nesting the priority controller utilizes interr upt nesting in order for the high priority interrup t to be handled during the service of lower priori ty interrupts. this requires the interrupt service routines of the lower interrupts to re-enable the interrupt at the processor level. when an interrupt of a higher priority happens during an already occurring interrupt service rou- tine, the nirq line is re-asserted. if the interrupt is enabled at the core level, the current execution is interrupted and the new interrupt service routine should read the aic_ivr. at this time, the current interrupt number and its priority level are pushed into an embedded hardware stack, so that they are saved and restored when the higher priority interrupt servicing is finished and the aic_eoicr is written. the aic is equipped with an 8-leve l wide hardware stack in order to support up to eight interrupt nestings pursuant to having eight priority levels. 29.7.3.3 interrupt vectoring the interrupt handler addresses corresponding to each interrupt source can be stored in the reg- isters aic_svr1 to aic_svr31 (source vector register 1 to 31). when the processor reads aic_ivr (interrupt vector register), the value written into aic_svr corresponding to the cur- rent interrupt is returned. this feature offers a way to branch in one single instruction to the handler corresponding to the current interrupt, as aic_ivr is mapped at the absolute address 0xffff f100 and thus acces- sible from the arm interrupt vector at address 0x0000 0018 through the following instruction: ldr pc,[pc,# -&f20] when the processor executes this instruction, it loads the read value in aic_ivr in its program counter, thus branching the execution on the correct interrupt handler. this feature is often not used when the application is based on an operating system (either real time or not). operating systems often have a single entry point for all the interrupts and the first task performed is to discern the source of the interrupt. however, it is strongly recommended to port the operating system on at91 products by support- ing the interrupt vectoring. this can be performed by defining all the aic_svr of the interrupt source to be handled by the operating system at the address of its interrupt handler. when doing so, the interrupt vectoring permits a critical inte rrupt to transfer the execution on a specific very fast handler and not onto the operating system?s general interrupt handler. this facilitates the support of hard real-time tasks (input/outputs of voice/audio buffers and software peripheral han- dling) to be handled efficiently and independently of the application running under an operating system. 29.7.3.4 interrupt handlers this section gives an overview of the fast interrupt handling sequence when using the aic. it is assumed that the programmer understands the architecture of the arm processor, and espe- cially the processor interrupt mode s and the associated status bits.
307 6289d?atarm?3-oct-11 at91sam9r64/rl64 it is assumed that: 1. the advanced interrupt controller has been programmed, aic_svr registers are loaded with corresponding interrupt service routine addresses and interrupts are enabled. 2. the instruction at the arm interrupt exception vector address is required to work with the vectoring ldr pc, [pc, # -&f20] when nirq is asserted, if the bit ?i? of cpsr is 0, the sequence is as follows: 1. the cpsr is stored in spsr_i rq, the current value of the program coun ter is loaded in the interrupt link register (r14_irq) and the program counter (r15) is loaded with 0x18. in the following cycle during fetch at address 0x1c, the arm core adjusts r14_irq, dec- rementing it by four. 2. the arm core enters interrupt mode, if it has not already done so. 3. when the instruction loaded at address 0x18 is executed, the program counter is loaded with the value read in aic_ivr. reading the aic_ivr has the following effects: ? sets the current interrupt to be the pending and enabled interrupt with the highest priority. the current level is the priority level of the current interrupt. ? de-asserts the nirq line on the processor. even if vectoring is not used, aic_ivr must be read in order to de-assert nirq. ? automatically clears the interrupt, if it has been programmed to be edge-triggered. ? pushes the current level and the current interrupt number on to the stack. ? returns the value written in the aic_svr corresponding to the current interrupt. 4. the previous step has the effect of branc hing to the corresponding interrupt service routine. this should start by saving the link register (r14_irq) and spsr_irq. the link register must be decremented by four when it is saved if it is to be restored directly into the program counter at the end of the interrupt. for example, the instruction sub pc, lr, #4 may be used. 5. further interrupts can then be unmasked by clearing the ?i? bit in cpsr, allowing re- assertion of the nirq to be taken into account by the core. this can happen if an inter- rupt with a higher priority than the current interrupt occurs. 6. the interrupt handler can then proceed as required, saving the registers that are used and restoring them at the end. during this phase, an interrupt of higher priority than the current level restarts the sequence from step 1. note: if the interrupt is programmed to be level sensitiv e, the source of the interrupt must be cleared dur- ing this phase. 7. the ?i? bit in cpsr must be set in order to mask interrupts before exiting to ensure that the interrupt is completed in an orderly manner. 8. the end of interrupt command register (aic_eoicr) must be written in order to indi- cate to the aic that the current interrupt is finished. this causes the current level to be popped from the stack, restoring the previous current level if one exists on the stack. if another interrupt is pending, with lower or equal priority than the old current level but with higher priority than the new current level, the nirq line is re-asserted, but the inter- rupt sequence does not immediately start because the ?i? bit is set in the core. spsr_irq is restored. fina lly, the saved value of the link regi ster is restored directly into the pc. this has the effect of returning from the interrupt to whatever was being exe-
308 6289d?atarm?3-oct-11 at91sam9r64/rl64 cuted before, and of loading the cpsr with the stored spsr, masking or unmasking the interrupts depending on the state saved in spsr_irq. note: the ?i? bit in spsr is significant. if it is set, it indicates that the arm core was on the verge of masking an interrupt when the mask instruction was in terrupted. hence, when spsr is restored, the mask instruction is comple ted (interrupt is masked). 29.7.4 fast interrupt 29.7.4.1 fast interrupt source the interrupt source 0 is the only source which can raise a fast interrupt request to the processor except if fast forcing is used. the interrupt so urce 0 is generally connected to a fiq pin of the product, either directly or through a pio controller. 29.7.4.2 fast interrupt control the fast interrupt logic of the aic has no priority controller. the mode of interrupt source 0 is programmed with the aic_smr0 and the field prior of this register is not used even if it reads what has been written. the fi eld srctype of aic_smr0 enable s programming the fast inter- rupt source to be positive-edge triggered or negative-edge triggered or high-level sensitive or low-level sensitive writing 0x1 in the aic_iecr (interrupt enable command register) and aic_idcr (interrupt disable command register) respectively enables and disables the fast interrupt. the bit 0 of aic_imr (interrupt mask register) indicates whet her the fast interrupt is enabled or disabled. 29.7.4.3 fast interrupt vectoring the fast interrupt handler address can be stor ed in aic_svr0 (source vector register 0). the value written into this register is returned when the processor reads aic_fvr (fast vector reg- ister). this offers a way to branch in one single instruction to the interrupt handler, as aic_fvr is mapped at the absolute address 0xffff f104 and thus accessible from the arm fast inter- rupt vector at address 0x0000 001c through the following instruction: ldr pc,[pc,# -&f20] when the processor executes this instruction it loads the value read in aic_fvr in its program counter, thus branching the execution on the fast interrupt handler. it also automatically per- forms the clear of the fast interrupt source if it is programmed in edge-triggered mode. 29.7.4.4 fast interrupt handlers this section gives an overview of the fast interrupt handling sequence when using the aic. it is assumed that the programmer understands the architecture of the arm processor, and espe- cially the processor interrupt modes and associated status bits. assuming that: 1. the advanced interrupt controller has been programmed, aic_svr0 is loaded with the fast interrupt service routine address, and the interrupt source 0 is enabled. 2. the instruction at address 0x1c (fiq exception vector address) is required to vector the fast interrupt: ldr pc, [pc, # -&f20] 3. the user does not need nested fast interrupts. when nfiq is asserted, if the bit ?f? of cpsr is 0, the sequence is:
309 6289d?atarm?3-oct-11 at91sam9r64/rl64 1. the cpsr is stored in spsr_fiq, the current value of the program counter is loaded in the fiq link register (r14_fiq) and the program counter (r15) is loaded with 0x1c. in the following cycle, during fetch at address 0x20, the arm core ad justs r14_fiq, decre- menting it by four. 2. the arm core enters fiq mode. 3. when the instruction loaded at address 0x1c is executed, the program counter is loaded with the value read in aic_fvr. re ading the aic_fvr has effect of automati- cally clearing the fast interrupt, if it has been programmed to be edge triggered. in this case only, it de-asserts the nfiq line on the processor. 4. the previous step enables branching to the corresponding interrupt service routine. it is not necessary to save the link register r14_fiq and spsr_fiq if nested fast interrupts are not needed. 5. the interrupt handler can then proceed as required. it is not necessary to save regis- ters r8 to r13 because fiq mode has its own dedicated registers and the user r8 to r13 are banked. the other registers, r0 to r7, must be saved before being used, and restored at the end (before the next step). note that if the fast interrupt is programmed to be level sensitive, the source of the interrupt must be cleared during this phase in order to de-assert the interrupt source 0. 6. finally, the link register r14_fiq is restored into the pc after decrementing it by four (with instruction sub pc, lr, #4 for example). this has the effect of returning from the interrupt to whatever was being exec uted before, loading the cpsr with the spsr and masking or unmasking the fast interrupt depending on the state saved in the spsr. note: the ?f? bit in spsr is significan t. if it is set, it indicates that the arm core was just about to mask fiq interrupts when the mask instru ction was interrupted. hence wh en the spsr is restored, the interrupted instruction is completed (fiq is masked). another way to handle the fast interrupt is to map the interrupt service routine at the address of the arm vector 0x1c. this method does not use the vectoring, so that reading aic_fvr must be performed at the very beginning of the handler operation. however, this method saves the execution of a branch instruction. 29.7.4.5 fast forcing the fast forcing feature of the advanced interrupt controller provides redirection of any normal interrupt source on the fast interrupt controller. fast forcing is enabled or disabl ed by writing to the fast forcing enable register (aic_ffer) and the fast forcing disable register (aic_ff dr). writing to these registers results in an update of the fast forcing status register (aic _ffsr) that controls the feature for each inter- nal or external interrupt source. when fast forcing is disabled, the interrupt sources are handled as described in the previous pages. when fast forcing is enabled, the edge/level programming and, in certain cases, edge detec- tion of the interrupt s ource is still active but the source c annot trigger a normal interrupt to the processor and is not seen by the priority handler. if the interrupt source is programmed in level- sensitive mode and an active level is sampled, fast forcing results in the assertion of the nfiq line to the core. if the interrupt source is programmed in edge-triggered mode and an active edge is detected, fast forcing results in the assertion of the nfiq line to the core.
310 6289d?atarm?3-oct-11 at91sam9r64/rl64 the fast forcing feature does not affect the source 0 pending bit in the interrupt pending reg- ister (aic_ipr). the fiq vector register (aic_fvr) reads the contents of the source vector register 0 (aic_svr0), whatever the source of the fast interrupt may be. the read of the fvr does not clear the source 0 when the fast forcing feature is used and the interrupt source should be cleared by writing to the interrupt cl ear command register (aic_iccr). all enabled and pending interrupt sources that have the fast forcing feature enabled and that are programmed in edge-triggered mode must be cleared by writing to the interrupt clear command register. in doing so, they are cleared independently and thus lost interrupts are prevented. the read of aic_ivr does not clear the source that has the fast forcing feature enabled. the source 0, reserved to the fast interrupt, continues operating normally and becomes one of the fast interrupt sources. figure 29-10. fast forcing 29.7.5 protect mode the protect mode permits reading the interrupt vector register without performing the associ- ated automatic operations. this is necessary when working with a debug system. when a debugger, working either with a debug monitor or the arm processor's ic e, stops the applica- tions and updates the opened windows, it might read the aic user interface and thus the ivr. this has undesirable consequences: ? if an enabled interrupt with a higher priority than the current one is pending, it is stacked. ? if there is no enabled pending interrupt, the spurious vector is returned. in either case, an end of interrupt command is necessary to acknowledge and to restore the context of the aic. this operation is generally not performed by the debug system as the debug system would become strongly intrusive and caus e the application to enter an undesired state. this is avoided by using the protect mode. writing dbgm in aic_dcr (debug control register) at 0x1 enables the protect mode. when the protect mode is enabled, the aic performs interrupt stacking only when a write access is performed on the aic_ivr. therefore, the interrupt service routines must write (arbitrary data) to the aic_ivr just after reading it. the new context of the aic, including the value of the source 0 _ fiq input stage automatic clear input stage automatic clear source n aic_ipr aic_imr aic_ffsr aic_ipr aic_imr priority manager nfiq nirq read ivr if source n is the current interrupt and if fast forcing is disabled on source n. read fvr if fast forcing is disabled on sources 1 to 31.
311 6289d?atarm?3-oct-11 at91sam9r64/rl64 interrupt status register (aic_isr), is updated with the current interrupt only when aic_ivr is written. an aic_ivr read on its own (e.g., by a debugger), modifies neither the aic context nor the aic_isr. extra aic_ivr reads perform the same operations. however, it is recommended to not stop the processor between the read and the write of aic_ivr of the interrupt service routine to make sure the debugger does not modify the aic context. to summarize, in normal operating mode, the read of aic_ivr performs the following opera- tions within the aic: 1. calculates active interrupt (higher than current or spurious). 2. determines and returns the vector of the active interrupt. 3. memorizes the interrupt. 4. pushes the current priority level onto the internal stack. 5. acknowledges the interrupt. however, while the protect mode is activated, only operations 1 to 3 are performed when aic_ivr is read. operations 4 and 5 are only performed by the aic when aic_ivr is written. software that has been written and debugged using the protect mode runs correctly in normal mode without modification. however, in normal mode the aic_ivr write has no effect and can be removed to optimize the code. 29.7.6 spurious interrupt the advanced interrupt controller features protection against spurious interrupts. a spurious interrupt is defined as being the assertion of an interrupt source long enough for the aic to assert the nirq, but no longer present when aic_ivr is read. this is most prone to occur when: ? an external interrupt source is programmed in level-sensitive mode and an active level occurs for only a short time. ? an internal interrupt source is programmed in level sensitive and the output signal of the corresponding embedded peripheral is activated for a short time. (as in the case for the watchdog.) ? an interrupt occurs just a few cycles before the software begins to mask it, thus resulting in a pulse on the interrupt source. the aic detects a spurious interrupt at the time the aic_ivr is read while no enabled interrupt source is pending. when this happens, the aic returns the value stored by the programmer in aic_spu (spurious vector register). the pr ogrammer must store the address of a spurious interrupt handler in aic_spu as part of the application, to enable an as fast as possible return to the normal execution flow. this handler writes in aic_eoicr and performs a return from interrupt. 29.7.7 general interrupt mask the aic features a general interrupt mask bit to prevent interrupts from reaching the processor. both the nirq and the nfiq lines are driven to thei r inactive state if the bit gmsk in aic_dcr (debug control register) is set. however, this mask does not prevent waking up the processor if it has entered idle mode. this function facilit ates synchronizing the processor on a next event and, as soon as the event occurs, performs subsequent operations without having to handle an interrupt. it is strongly recommended to use this mask with caution.
312 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8 advanced interrupt controll er (aic) user interface 29.8.1 base address the aic is mapped at the address 0xffff f000 . it has a total 4-kbyte addressing space. this permits the vectoring feature, as the pc-relative load/store instructions of the arm processor support only a 4-kbyte offset. 29.8.2 register mapping notes: 1. the reset value of this register depends on the level of the external interrupt source. all other sources are cleared a t reset, thus not pending. 2. pid2...pid31 bit fields refer to the identifiers as defined in the section ?peripheral identifiers? of the product datasheet. table 29-2. register mapping offset register name access reset value 0000 source mode register 0 aic_smr0 read-write 0x0 0x04 source mode register 1 aic_smr1 read-write 0x0 --- --- --- --- --- 0x7c source mode register 31 aic_smr31 read-write 0x0 0x80 source vector register 0 aic_svr0 read-write 0x0 0x84 source vector register 1 aic_svr1 read-write 0x0 --- --- --- --- --- 0xfc source vector register 31 aic_svr31 read-write 0x0 0x100 interrupt vector register aic_ivr read-only 0x0 0x104 fiq interrupt vector register aic_fvr read-only 0x0 0x108 interrupt status register aic_isr read-only 0x0 0x10c interrupt pending register (2) aic_ipr read-only 0x0 (1) 0x110 interrupt mask register (2) aic_imr read-only 0x0 0x114 core interrupt status register aic_cisr read-only 0x0 0x118 reserved --- --- --- 0x11c reserved --- --- --- 0x120 interrupt enable command register (2) aic_iecr write-only --- 0x124 interrupt disable command register (2) aic_idcr write-only --- 0x128 interrupt clear command register (2) aic_iccr write-only --- 0x12c interrupt set command register (2) aic_iscr write-only --- 0x130 end of interrupt command register aic_eoicr write-only --- 0x134 spurious interrupt vector register aic_spu read-write 0x0 0x138 debug control register aic_dcr read-write 0x0 0x13c reserved --- --- --- 0x140 fast forcing enable register (2) aic_ffer write-only --- 0x144 fast forcing disable register (2) aic_ffdr write-only --- 0x148 fast forcing status register (2) aic_ffsr read-only 0x0
313 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.3 aic source mode register register name: aic_smr0..aic_smr31 access type: read-write reset value: 0x0 ? prior: priority level programs the priority level for all sources except fiq source (source 0). the priority level can be between 0 (lowest) and 7 (highest). the priority level is not used for the fi q in the related smr register aic_smrx. ? srctype: interrupt source type the active level or edge is not programmable for the internal interrupt sources. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? srctype ? ? prior srctype internal interrupt sources external interrupt sources 0 0 high level sensitive low level sensitive 0 1 positive edge triggered negative edge triggered 1 0 high level sensitive high level sensitive 1 1 positive edge triggered positive edge triggered
314 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.4 aic source vector register register name: aic_svr0..aic_svr31 access type: read-write reset value: 0x0 ? vector: source vector the user may store in these registers the addresses of the corresponding handler for each interrupt source. 29.8.5 aic interrupt vector register register name: aic_ivr access type: read-only reset value: 0x0 ? irqv: interrupt vector register the interrupt vector register contains the vector programmed by the user in the source vector register corresponding to the current interrupt. the source vector register is indexed using the current interrupt number when the interrupt vector register is read. when there is no current interrupt, the interrupt vector register reads the value stored in aic_spu. 31 30 29 28 27 26 25 24 vector 23 22 21 20 19 18 17 16 vector 15 14 13 12 11 10 9 8 vector 76543210 vector 31 30 29 28 27 26 25 24 irqv 23 22 21 20 19 18 17 16 irqv 15 14 13 12 11 10 9 8 irqv 76543210 irqv
315 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.6 aic fiq vector register register name: aic_fvr access type: read-only reset value: 0x0 ? fiqv: fiq vector register the fiq vector register contains the vector programmed by the user in the source vector register 0. when there is no fast interrupt, the fiq vector register reads the value stored in aic_spu. 29.8.7 aic interrupt status register register name: aic_isr access type: read-only reset value: 0x0 ? irqid: current interrupt identifier the interrupt status register returns the current interrupt source number. 31 30 29 28 27 26 25 24 fiqv 23 22 21 20 19 18 17 16 fiqv 15 14 13 12 11 10 9 8 fiqv 76543210 fiqv 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ??? irqid
316 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.8 aic interrupt pending register register name: aic_ipr access type: read-only reset value: 0x0 ? fiq, sys, pid2-pid31: interrupt pending 0 = corresponding interrupt is not pending. 1 = corresponding interrupt is pending. 29.8.9 aic interrupt mask register register name: aic_imr access type: read-only reset value: 0x0 ? fiq, sys, pid2-pid31: interrupt mask 0 = corresponding interrupt is disabled. 1 = corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq
317 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.10 aic core interrupt status register register name: aic_cisr access type: read-only reset value: 0x0 ? nfiq: nfiq status 0 = nfiq line is deactivated. 1 = nfiq line is active. ? nirq: nirq status 0 = nirq line is deactivated. 1 = nirq line is active. 29.8.11 aic interrupt enable command register register name: aic_iecr access type: write-only ? fiq, sys, pid2-pid3: interrupt enable 0 = no effect. 1 = enables corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ??????nirqnifq 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq
318 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.12 aic interrupt disable command register register name: aic_idcr access type: write-only ? fiq, sys, pid2-pid31: interrupt disable 0 = no effect. 1 = disables corresponding interrupt. 29.8.13 aic interrupt clear command register register name: aic_iccr access type: write-only ? fiq, sys, pid2-pid31: interrupt clear 0 = no effect. 1 = clears corresponding interrupt. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq
319 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.14 aic interrupt set command register register name: aic_iscr access type: write-only ? fiq, sys, pid2-pid31: interrupt set 0 = no effect. 1 = sets corresponding interrupt. 29.8.15 aic end of interrupt command register register name: aic_eoicr access type: write-only the end of interrupt command register is used by the interrupt routine to indicate that the interrupt treatment is complete. any value can be written because it is only necessary to make a write to this register location to signal the end of interrupt treatment. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys fiq 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????????
320 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.16 aic spurious interrupt vector register register name: aic_spu access type: read-write reset value: 0x0 ? siqv: spurious interrupt vector register the user may store the address of a spurious interrupt handler in this register. the written value is returned in aic_ivr in case of a spurious interrupt and in aic_fvr in case of a spurious fast interrupt. 29.8.17 aic debug control register register name: aic_debug access type: read-write reset value: 0x0 ? prot: protection mode 0 = the protection mode is disabled. 1 = the protection mode is enabled. ? gmsk: general mask 0 = the nirq and nfiq lines are normally controlled by the aic. 1 = the nirq and nfiq lines are tied to their inactive state. 31 30 29 28 27 26 25 24 siqv 23 22 21 20 19 18 17 16 siqv 15 14 13 12 11 10 9 8 siqv 76543210 siqv 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ??????gmskprot
321 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.18 aic fast forcing enable register register name: aic_ffer access type: write-only ? sys, pid2-pid31: fast forcing enable 0 = no effect. 1 = enables the fast forcing feature on the corresponding interrupt. 29.8.19 aic fast forcing disable register register name: aic_ffdr access type: write-only ? sys, pid2-pid31: fast forcing disable 0 = no effect. 1 = disables the fast forcing feature on the corresponding interrupt. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys ? 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys ?
322 6289d?atarm?3-oct-11 at91sam9r64/rl64 29.8.20 aic fast forcing status register register name: aic_ffsr access type: read-only ? sys, pid2-pid31: fast forcing status 0 = the fast forcing feature is disabled on the corresponding interrupt. 1 = the fast forcing feature is enabled on the corresponding interrupt. 31 30 29 28 27 26 25 24 pid31 pid30 pid29 pid28 pid27 pid26 pid25 pid24 23 22 21 20 19 18 17 16 pid23 pid22 pid21 pid20 pid19 pid18 pid17 pid16 15 14 13 12 11 10 9 8 pid15 pid14 pid13 pid12 pid11 pid10 pid9 pid8 76543210 pid7 pid6 pid5 pid4 pid3 pid2 sys ?
323 6289d?atarm?3-oct-11 at91sam9r64/rl64 30. debug unit (dbgu) 30.1 description the debug unit provides a single entry point from the processor for access to all the debug capabilities of atmel?s arm-based systems. the debug unit features a two-pin uart that can be used for several debug and trace purposes and offers an ideal medium for in-situ programming solutions and debug monitor communica- tions. moreover, the association with two peripheral data controller channels permits packet handling for these tasks with processor time reduced to a minimum. the debug unit also makes the debug communication channel (dcc) signals provided by the in-circuit emulator of the arm processor visible to the software. these signals indicate the sta- tus of the dcc read and write registers and gener ate an interrup t to the arm processor, making possible the handling of the dcc under interrupt control. chip identifier registers permit recognition of t he device and its revision. these registers inform as to the sizes and types of the on-chip memori es, as well as the set of embedded peripherals. finally, the debug unit features a force ntrst capability that enables the software to decide whether to prevent access to the system via th e in-circuit emulator. th is permits protection of the code, stored in rom.
324 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.2 block diagram figure 30-1. debug unit functional block diagram figure 30-2. debug unit application example peripheral dma controller baud rate generator dcc handler ice access handler transmit receive chip id interrupt control peripheral bridge parallel input/ output dtxd drxd power management controller arm processor force_ntrst commrx commtx mck ntrst power-on reset dbgu_irq apb debug unit table 30-1. debug unit pin description pin name description type drxd debug receive data input dtxd debug transmit data output debug unit rs232 drivers programming tool trace console debug console boot program debug monitor trace manager
325 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.3 product dependencies 30.3.1 i/o lines depending on product integration, the debug unit pins may be multiplexed with pio lines. in this case, the programmer must first configure the corresponding pio controller to enable i/o lines operations of the debug unit. 30.3.2 power management depending on product integration, the debug unit clock may be controllable through the power management controller. in this case, the programmer must first configure the pmc to enable the debug unit clock. usually, the peripheral identifier used for this purpose is 1. 30.3.3 interrupt source depending on product integration, the debug unit interrupt line is connected to one of the inter- rupt sources of the advanced interrupt controller. interrupt handling requires programming of the aic before configuring the de bug unit. usually, the debug unit interrupt line connects to the interrupt source 1 of the aic, which may be shared with the real-time clock, the system timer interrupt lines and other system peripheral interrupts, as shown in figure 30-1 . this sharing requires the programmer to determine the source of the interrupt when the source 1 is triggered. 30.4 uart operations the debug unit operates as a uart, (asynchro nous mode only) and supports only 8-bit charac- ter handling (with parity). it has no clock pin. the debug unit's uart is made up of a receiver and a transmitter that operate independently, and a common baud rate generator. receiver timeout and transmitter time guard are not imple- mented. however, all the implemented features are compatible with those of a standard usart. 30.4.1 baud rate generator the baud rate generator provides the bit period clock named baud rate clock to both the receiver and the transmitter. the baud rate clock is the master clock divided by 16 times the value (cd) written in dbgu_brgr (baud rate generator register). if dbgu_brgr is set to 0, the baud rate clock is disabled and the debug unit's uart remains inactive. the maximum allowable baud rate is master clock divided by 16. the minimum allow able baud rate is master clock divided by (16 x 65536). baud rate mck 16 cd --------------------- =
326 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 30-3. baud rate generator 30.4.2 receiver 30.4.2.1 receiver rese t, enable and disable after device reset, the debug unit receiver is disabled and must be enabled before being used. the receiver can be enabled by writing the control register dbgu_cr with the bit rxen at 1. at this command, the receiver starts looking for a start bit. the programmer can disable the receiver by writ ing dbgu_cr with the bit rxdis at 1. if the receiver is waiting for a start bit, it is immedi ately stopped. however, if the receiver has already detected a start bit and is receiving the data, it waits for the stop bit before actually stopping its operation. the programmer can also put the receiver in it s reset state by writing dbgu_cr with the bit rstrx at 1. in doing so, the receiver immediat ely stops its current operations and is disabled, whatever its current state. if rstrx is applied wh en data is being processed, this data is lost. 30.4.2.2 start detection and data sampling the debug unit only supports asynchronous operations, and this affects only its receiver. the debug unit receiver detects the start of a rece ived character by sampling the drxd signal until it detects a valid start bit. a low level (space) on drxd is interpreted as a valid start bit if it is detected for more than 7 cycles of the sampling clock, which is 16 times the baud rate. hence, a space that is longer than 7/16 of the bit period is detected as a valid start bit. a space which is 7/16 of a bit period or shorter is ignored and the receiver continues to wait for a valid start bit. when a valid start bit has been detected, the receiver samples the drxd at the theoretical mid- point of each bit. it is assumed that each bit last s 16 cycles of the sampling clock (1-bit period) so the bit sampling point is eight cycles (0.5-bit period) after the start of the bit. the first sampling point is therefore 24 cycles (1.5 -bit periods) after t he falling edge of the st art bit was detected. each subsequent bit is sampled 16 cycles (1-bit period) after the previous one. mck 16-bit counter 0 baud rate clock cd cd out divide by 16 0 1 >1 receiver sampling clock
327 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 30-4. start bit detection figure 30-5. character reception 30.4.2.3 receiver ready when a complete character is received, it is transferred to the dbgu_rhr and the rxrdy sta- tus bit in dbgu_sr (status register) is set. the bit rxrdy is automatically cleared when the receive holding register dbgu_rhr is read. figure 30-6. receiver ready 30.4.2.4 receiver overrun if dbgu_rhr has not been read by the software (o r the peripheral data controller) since the last transfer, the rxrdy bit is still set and a ne w character is received, the ovre status bit in dbgu_sr is set. ovre is cleared when the soft ware writes the contro l register dbgu_cr with the bit rststa (reset status) at 1. figure 30-7. receiver overrun 30.4.2.5 parity error each time a character is received, the receiver calculates the parity of the received data bits, in accordance with the field par in dbgu_mr. it then compares the result with the received parity sampling clock drxd true start detection d0 baud rate clock d0 d1 d2 d3 d4 d5 d6 d7 drxd true start detection sampling parity bit stop bit example: 8-bit, parity enabled 1 stop 1 bit period 0.5 bit period d0 d1 d2 d3 d4 d5 d6 d7 p s s d0 d1 d2 d3 d4 d5 d6 d7 p drxd read dbgu_rhr rxrdy d0 d1 d2 d3 d4 d5 d6 d7 p s s d0 d1 d2 d3 d4 d5 d6 d7 p drxd rststa rxrdy ovre stop stop
328 6289d?atarm?3-oct-11 at91sam9r64/rl64 bit. if different, the parity error bit pare in dbgu_sr is set at the same time the rxrdy is set. the parity bit is cleared when the control register dbgu_cr is written with the bit rststa (reset status) at 1. if a new character is received before the reset status command is written, the pare bit remains at 1. figure 30-8. parity error 30.4.2.6 receiver framing error when a start bit is detected, it generates a character reception when all the data bits have been sampled. the stop bit is also sampled and when it is detected at 0, the frame (framing error) bit in dbgu_sr is set at the same time the rxrdy bit is set. the bit frame remains high until the control register dbgu_cr is written with the bit rststa at 1. figure 30-9. receiver framing error 30.4.3 transmitter 30.4.3.1 transmitter reset, enable and disable after device reset, the debug unit transmitter is disabled and it must be enabled before being used. the transmitter is enabled by writing the control register dbgu_cr with the bit txen at 1. from this command, the transmitter waits for a ch aracter to be written in the transmit holding register dbgu_thr before actually starting the transmission. the programmer can disable the transmitter by writing dbgu_cr with the bit txdis at 1. if the transmitter is not operating, it is immediately stopped. however, if a character is being pro- cessed into the shift register and/or a character has been written in the transmit holding register, the characters are completed before the transmitter is actually stopped. the programmer can also put the transmitter in its reset state by writing the dbgu_cr with the bit rsttx at 1. this immediately stops the transmitter, whether or not it is processing characters. 30.4.3.2 transmit format the debug unit transmitter drives the pin dtxd at the baud rate clock speed. the line is driven depending on the format defined in the mode register and the data stored in the shift register. one start bit at level 0, then the 8 data bits, from the lowest to the highest bit, one optional parity bit and one stop bit at 1 are consecutively shifte d out as shown on the following figure. the field stop d0 d1 d2 d3 d4 d5 d6 d7 p s drxd rststa rxrdy pare wrong parity bit d0 d1 d2 d3 d4 d5 d6 d7 p s drxd rststa rxrdy frame stop bit detected at 0 stop
329 6289d?atarm?3-oct-11 at91sam9r64/rl64 pare in the mode register dbgu_mr defines whether or not a parity bit is shifted out. when a parity bit is enabled, it can be selected between an odd parity, an even parity, or a fixed space or mark bit. figure 30-10. character transmission 30.4.3.3 transmitter control when the transmitter is enabled, the bit txrdy (transmitter ready) is set in the status register dbgu_sr. the transmission starts when the prog rammer writes in the transmit holding regis- ter dbgu_thr, and after the written character is transferred from dbgu_thr to the shift register. the bit txrdy remains high until a second character is written in dbgu_thr. as soon as the first character is completed, the last character written in dbgu_thr is transferred into the shift register and txrdy rises again, showing that the holding register is empty. when both the shift register and the dbgu_thr are empty, i.e., all the characters written in dbgu_thr have been processed, the bit txempty rises after the last stop bit has been completed. figure 30-11. transmitter control 30.4.4 peripheral data controller both the receiver and the transmitter of the debug unit's uart are generally connected to a peripheral data controller (pdc) channel. the peripheral data controller channels are programmed via registers that are mapped within the debug unit user interface from the offset 0x100. the status bits are reported in the debug unit status register dbgu_sr and can generate an interrupt. d0 d1 d2 d3 d4 d5 d6 d7 dtxd start bit parity bit stop bit example: parity enabled baud rate clock dbgu_thr shift register dtxd txrdy txempty data 0 data 1 data 0 data 0 data 1 data 1 s s p p write data 0 in dbgu_thr write data 1 in dbgu_thr stop stop
330 6289d?atarm?3-oct-11 at91sam9r64/rl64 the rxrdy bit triggers the pdc channel data transfer of the receiver. this results in a read of the data in dbgu_rhr. the txrdy bit triggers t he pdc channel data tran sfer of the transmit- ter. this results in a writ e of a data in dbgu_thr. 30.4.5 test modes the debug unit supports three tests modes. these modes of operation are programmed by using the field chmode (channel mode) in the mode register dbgu_mr. the automatic echo mode allows bit-by-bit retr ansmission. when a bit is received on the drxd line, it is sent to the dtxd line. the transm itter operates normally, but has no effect on the dtxd line. the local loopback mode allows the transmitted characters to be received. dtxd and drxd pins are not used and the output of the transmitter is internally connected to the input of the receiver. the drxd pin level has no effect and th e dtxd line is held high , as in idle state. the remote loopback mode directly connects the drxd pin to the dtxd line. the transmitter and the receiver are disabled and have no effec t. this mode allows a bit-by-bit retransmission. figure 30-12. test modes 30.4.6 debug communication channel support the debug unit handles the signals commrx and commtx that come from the debug com- munication channel of the arm processor and are driven by the in-circuit emulator. receiver transmitter disabled rxd txd receiver transmitter disabled rxd txd v dd disabled receiver transmitter disabled rxd txd disabled automatic echo local loopback remote loopback v dd
331 6289d?atarm?3-oct-11 at91sam9r64/rl64 the debug communication channel contains two registers that are accessible through the ice breaker on the jtag side and through the coprocessor 0 on the arm processor side. as a reminder, the following instructions ar e used to read and write the debug communication channel: mrc p14, 0, rd, c1, c0, 0 returns the debug communication data read register into rd mcr p14, 0, rd, c1, c0, 0 writes the value in rd to the debug communication data write register. the bits commrx and commtx, which indicate, respectively, that the read register has been written by the debugger but not yet read by the processor, and that the write register has been written by the processor and not yet read by the debugger, are wired on the two highest bits of the status register dbgu_sr. these bits can generate an interrupt. this feature permits han- dling under interrupt a debug link between a debug monitor running on the target system and a debugger. 30.4.7 chip identifier the debug unit features two chip identifier registers, dbgu_cidr (chip id register) and dbgu_exid (extension id). both registers contain a hard-wired value that is read-only. the first register contains the following fields: ? ext - shows the use of the extension identifier register ? nvptyp and nvpsiz - identifies the type of embedded non-volatile memory and its size ? arch - identifies the set of embedded peripherals ? sramsiz - indicates the size of the embedded sram ? eproc - indicates the embedded arm processor ? version - gives the revision of the silicon the second register is device-dependent and reads 0 if the bit ext is 0. 30.4.8 ice access prevention the debug unit allows blockage of access to the system through the arm processor's ice interface. this feature is implemented via th e register force ntrst (dbgu_fnr), that allows assertion of the ntrst signal of the ice interface. writing the bit fntrst (force ntrst) to 1 in this register prevents any activity on the tap controller. on standard devices, the bit fntrst resets to 0 and thus does not prevent ice access. this feature is especially useful on custom rom devices for customers who do not want their on-chip code to be visible.
332 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5 debug unit user interface table 30-2. debug unit memory map offset register name access reset value 0x0000 control register dbgu_cr write-only ? 0x0004 mode register dbgu_mr read/write 0x0 0x0008 interrupt enable register dbgu_ier write-only ? 0x000c interrupt disable register dbgu_idr write-only ? 0x0010 interrupt mask register dbgu_imr read-only 0x0 0x0014 status register dbgu_sr read-only ? 0x0018 receive holding register dbgu_rhr read-only 0x0 0x001c transmit holding register dbgu_thr write-only ? 0x0020 baud rate generator register dbgu_brgr read/write 0x0 0x0024 - 0x003c reserved ? ? ? 0x0040 chip id register dbgu_cidr read-only ? 0x0044 chip id extension register dbgu_exid read-only ? 0x0048 force ntrst register dbgu_fnr read/write 0x0 0x004c - 0x00fc reserved ? ? ? 0x0100 - 0x0124 pdc area ? ? ?
333 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.1 debug unit control register name: dbgu_cr access type: write-only ? rstrx: reset receiver 0 = no effect. 1 = the receiver logic is reset and disabled. if a ch aracter is being received, the reception is aborted. ? rsttx: reset transmitter 0 = no effect. 1 = the transmitter logic is reset and disabled. if a character is being transmitted, the transmission is aborted. ? rxen: receiver enable 0 = no effect. 1 = the receiver is enabled if rxdis is 0. ? rxdis: receiver disable 0 = no effect. 1 = the receiver is disabled. if a character is being processe d and rstrx is not set, the character is completed before the receiver is stopped. ? txen: transmitter enable 0 = no effect. 1 = the transmitter is ena bled if txdis is 0. ? txdis: transmitter disable 0 = no effect. 1 = the transmitter is disabled. if a character is bei ng processed and a character has been written the dbgu_thr and rsttx is not set, both characters are completed before the transmitter is stopped. ? rststa: reset status bits 0 = no effect. 1 = resets the status bits pare, frame and ovre in the dbgu_sr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????? rststa 76543210 txdis txen rxdis rxen rsttx rstrx ??
334 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.2 debug unit mode register name: dbgu_mr access type: read/write ? par: parity type ? chmode: channel mode 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 chmode ?? pa r ? 76543210 ???????? par parity type 0 0 0 even parity 001odd parity 0 1 0 space: parity forced to 0 0 1 1 mark: parity forced to 1 1 x x no parity chmode mode description 00normal mode 0 1 automatic echo 1 0 local loopback 1 1 remote loopback
335 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.3 debug unit interrupt enable register name: dbgu_ier access type: write-only ? rxrdy: enable rxrdy interrupt ? txrdy: enable txrdy interrupt ? endrx: enable end of receive transfer interrupt ? endtx: enable end of transmit interrupt ? ovre: enable overrun error interrupt ? frame: enable framing error interrupt ? pare: enable parity error interrupt ? txempty: enable txempty interrupt ? txbufe: enable buffer empty interrupt ? rxbuff: enable buffer full interrupt ? commtx: enable commtx (from arm) interrupt ? commrx: enable commrx (from arm) interrupt 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 commrx commtx ?????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??? rxbuff txbufe ? txempty ? 76543210 pare frame ovre endtx endrx ? txrdy rxrdy
336 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.4 debug unit interrupt disable register name: dbgu_idr access type: write-only ? rxrdy: disable rxrdy interrupt ? txrdy: disable txrdy interrupt ? endrx: disable end of receive transfer interrupt ? endtx: disable end of transmit interrupt ? ovre: disable overrun error interrupt ? frame: disable framing error interrupt ? pare: disable parity error interrupt ? txempty: disable txempty interrupt ? txbufe: disable buffer empty interrupt ? rxbuff: disable buffer full interrupt ? commtx: disable commtx (from arm) interrupt ? commrx: disable commrx (from arm) interrupt 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 commrx commtx ?????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??? rxbuff txbufe ? txempty ? 76543210 pare frame ovre endtx endrx ? txrdy rxrdy
337 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.5 debug unit interrupt mask register name: dbgu_imr access type: read-only ? rxrdy: mask rxrdy interrupt ? txrdy: disable txrdy interrupt ? endrx: mask end of receive transfer interrupt ? endtx: mask end of transmit interrupt ? ovre: mask overrun error interrupt ? frame: mask framing error interrupt ? pare: mask parity error interrupt ? txempty: mask txempty interrupt ? txbufe: mask txbufe interrupt ? rxbuff: mask rxbuff interrupt ? commtx: mask commtx interrupt ? commrx: mask commrx interrupt 0 = the corresponding interrupt is disabled. 1 = the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 commrx commtx ?????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??? rxbuff txbufe ? txempty ? 76543210 pare frame ovre endtx endrx ? txrdy rxrdy
338 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.6 debug unit status register name: dbgu_sr access type: read-only ? rxrdy: receiver ready 0 = no character has been received since the last re ad of the dbgu_rhr or the receiver is disabled. 1 = at least one complete character has been received, transferred to dbgu_rhr and not yet read. ? txrdy: transmitter ready 0 = a character has been written to dbgu_thr and not yet transferred to the shift register, or the transmitter is disabled. 1 = there is no character written to dbgu_thr not yet transferred to the shift register. ? endrx: end of receiver transfer 0 = the end of transfer signal from the receiver peripheral data controller channel is inactive. 1 = the end of transfer signal from the receiver peripheral data controller channel is active. ? endtx: end of transmitter transfer 0 = the end of transfer signal from the transmitter peripheral data controller channel is inactive. 1 = the end of transfer signal from the transmitter peripheral data controller channel is active. ? ovre: overrun error 0 = no overrun error has occurred since the last rststa. 1 = at least one overrun error has occurred since the last rststa. ? frame: framing error 0 = no framing error has occurred since the last rststa. 1 = at least one framing error has occurred since the last rststa. ? pare: parity error 0 = no parity error has occurred since the last rststa. 1 = at least one parity error has occurred since the last rststa. ? txempty: transmitter empty 0 = there are characters in dbgu_thr, or characters being processed by the transmitter, or the transmitter is disabled. 1 = there are no characters in dbgu_thr and there ar e no characters being processed by the transmitter. 31 30 29 28 27 26 25 24 commrx commtx ?????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??? rxbuff txbufe ? txempty ? 76543210 pare frame ovre endtx endrx ? txrdy rxrdy
339 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? txbufe: transmission buffer empty 0 = the buffer empty signal from the transmitter pdc channel is inactive. 1 = the buffer empty signal from the transmitter pdc channel is active. ? rxbuff: receive buffer full 0 = the buffer full signal from the receiver pdc channel is inactive. 1 = the buffer full signal from the receiver pdc channel is active. ? commtx: debug communication channel write status 0 = commtx from the arm processor is inactive. 1 = commtx from the arm processor is active. ? commrx: debug communication channel read status 0 = commrx from the arm processor is inactive. 1 = commrx from the arm processor is active.
340 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.7 debug unit receiver holding register name: dbgu_rhr access type: read-only ? rxchr: received character last received character if rxrdy is set. 30.5.8 debug unit transmit holding register name: dbgu_thr access type: write-only ? txchr: character to be transmitted next character to be transmitted after the current character if txrdy is not set. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 rxchr 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 txchr
341 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.9 debug unit baud ra te generator register name: dbgu_brgr access type: read/write ? cd: clock divisor 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cd 76543210 cd cd baud rate clock 0 disabled 1mck 2 to 65535 mck / (cd x 16)
342 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.10 debug unit chip id register name: dbgu_cidr access type: read-only ? version: version of the device ? eproc: embedded processor ? nvpsiz: nonvolatile program memory size 31 30 29 28 27 26 25 24 ext nvptyp arch 23 22 21 20 19 18 17 16 arch sramsiz 15 14 13 12 11 10 9 8 nvpsiz2 nvpsiz 76543210 eproc version eproc processor 0 0 1 arm946e-s ? 0 1 0 arm7tdmi ? 100arm920t ? 1 0 1 arm926ej-s nvpsiz size 0000none 00018k bytes 001016k bytes 001132k bytes 0100reserved 010164k bytes 0110reserved 0111128k bytes 1000reserved 1001256k bytes 1010512k bytes 1011reserved 11001024k bytes 1101reserved 11102048k bytes 1111reserved
343 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? nvpsiz2 second nonvolatile program memory size ? sramsiz: internal sram size nvpsiz2 size 0000none 00018k bytes 001016k bytes 001132k bytes 0100reserved 010164k bytes 0110reserved 0111128k bytes 1000reserved 1001256k bytes 1010512k bytes 1011reserved 11001024k bytes 1101reserved 11102048k bytes 1111reserved sramsiz size 0000reserved 00011k bytes 00102k bytes 00116k bytes 0100112k bytes 01014k bytes 011080k bytes 0111160k bytes 10008k bytes 100116k bytes 101032k bytes 101164k bytes 1100128k bytes 1101256k bytes 111096k bytes 1111512k bytes
344 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? arch: architecture identifier ? nvptyp: nonvolatile program memory type ? ext: extension flag 0 = chip id has a single register definition without extension 1 = an extended chip id exists. arch architecture hex bin 0x19 0001 1001 at91sam9xx series 0x29 0010 1001 at91sam9xexx series 0x34 0011 0100 at91x34 series 0x37 0011 0111 cap7 series 0x39 0011 1001 cap9 series 0x3b 0011 1011 cap11 series 0x40 0100 0000 at91x40 series 0x42 0100 0010 at91x42 series 0x55 0101 0101 at91x55 series 0x60 0110 0000 at91sam7axx series 0x61 0110 0001 at91sam7aqxx series 0x63 0110 0011 at91x63 series 0x70 0111 0000 at91sam7sxx series 0x71 0111 0001 at91sam7xcxx series 0x72 0111 0010 at91sam7sexx series 0x73 0111 0011 at91sam7lxx series 0x75 0111 0101 at91sam7xxx series 0x92 1001 0010 at91x92 series 0xf0 1111 0000 at75cxx series nvptyp memory 000rom 0 0 1 romless or on-chip flash 1 0 0 sram emulating rom 0 1 0 embedded flash memory 011 rom and embedded flash memory nvpsiz is rom size nvpsiz2 is flash size
345 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.11 debug unit chip id extension register name: dbgu_exid access type: read-only ? exid: chip id extension reads 0 if the bit ext in dbgu_cidr is 0. 31 30 29 28 27 26 25 24 exid 23 22 21 20 19 18 17 16 exid 15 14 13 12 11 10 9 8 exid 76543210 exid
346 6289d?atarm?3-oct-11 at91sam9r64/rl64 30.5.12 debug unit force ntrst register name: dbgu_fnr access type: read/write ? fntrst: force ntrst 0 = ntrst of the arm processor?s tap controller is driven by the power_on_reset signal. 1 = ntrst of the arm processor?s tap controller is held low. 31 30 29 28 27 26 25 24 ??????? ? 23 22 21 20 19 18 17 16 ??????? ? 15 14 13 12 11 10 9 8 ??????? ? 7654321 0 ??????? fntrst
347 6289d?atarm?3-oct-11 at91sam9r64/rl64 31. parallel input/outp ut controller (pio) 31.1 description the parallel input/output controller (pio) manages up to 32 fully programmable input/output lines. each i/o line may be dedicated as a general-purpose i/o or be assigned to a function of an embedded peripheral. this assures effective optimization of the pins of a product. each i/o line is associated with a bit number in all of the 32-bit registers of the 32-bit wide user interface. each i/o line of the pio controller features: ? an input change interrupt enabling level change detection on any i/o line. ? a glitch filter providing rejection of pulses lower than one-half of clock cycle. ? multi-drive capability similar to an open drain i/o line. ? control of the pull-up of the i/o line. ? input visibility and output control. the pio controller also features a synchronous output providing up to 32 bits of data output in a single write operation.
348 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.2 block diagram figure 31-1. block diagram figure 31-2. application block diagram embedded peripheral embedded peripheral pio interrupt pio controller up to 32 pins pmc up to 32 peripheral ios up to 32 peripheral ios pio clock apb aic data, enable pin 31 pin 1 pin 0 data, enable on-chip peripherals pio controller on-chip peripheral drivers control & command driver keyboard driver keyboard driver general purpose i/os external devices
349 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.3 product dependencies 31.3.1 pin multiplexing each pin is configurable, according to product definition as either a general-purpose i/o line only, or as an i/o line multiplexed with one or two peripheral i/os. as the multiplexing is hard- ware-defined and thus product-dependent, the hardware designer and programmer must carefully determine the configuration of the pio controllers required by their application. when an i/o line is general-purpose only, i.e. not multiplexed with any peripheral i/o, programming of the pio controller regarding the assignment to a peripheral has no effect and only the pio con- troller can control how the pin is driven by the product. 31.3.2 external interrupt lines the interrupt signals fiq and irq0 to irqn are most generally multiplexed through the pio controllers. however, it is not necessary to assign the i/o line to the interrupt function as the pio controller has no effect on inputs and the interrupt lines (fiq or irqs) are used only as inputs. 31.3.3 power management the power management controller controls the pio controller clock in order to save power. writing any of the registers of the user interface does not require the pio controller clock to be enabled. this means that the configuration of the i/o lines does not require the pio controller clock to be enabled. however, when the clock is disabled, not all of t he features of the pio controller are available. note that the input change interrupt and the read of the pin level require the clock to be validated. after a hardware reset, the pio clock is disabled by default. the user must configure the power management controller before any access to the input line information. 31.3.4 interrupt generation for interrupt handling, the pio controllers are considered as user peripherals. this means that the pio controller interrupt lines are connected among the interrupt sources 2 to 31. refer to the pio controller peripheral identifier in the produc t description to identify the interrupt sources dedicated to the pio controllers. the pio controller interrupt can be generated only if the pio controller clock is enabled.
350 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.4 functional description the pio controller features up to 32 fully-programmable i/o lines. most of the control logic asso- ciated to each i/o is represented in figure 31-3 . in this description each signal shown represents but one of up to 32 possible indexes. figure 31-3. i/o line control logic 1 0 1 0 1 0 glitch filter peripheral b input peripheral a input 1 0 pio_ifdr[0] pio_ifsr[0] pio_ifer[0] edge detector pio_pdsr[0] pio_isr[0] pio_idr[0] pio_imr[0] pio_ier[0] pio interrupt (up to 32 possible inputs) pio_isr[31] pio_idr[31] pio_imr[31] pio_ier[31] pad 1 0 pio_pudr[0] pio_pusr[0] pio_puer[0] pio_mddr[0] pio_mdsr[0] pio_mder[0] pio_codr[0] pio_odsr[0] pio_sodr[0] pio_pdr[0] pio_psr[0] pio_per[0] 1 0 1 0 pio_bsr[0] pio_absr[0] pio_asr[0] peripheral b output enable peripheral a output enable peripheral b output peripheral a output pio_odr[0] pio_osr[0] pio_oer[0]
351 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.4.1 pull-up resistor control each i/o line is designed with an embedded pull-up resistor. the pull-up resistor can be enabled or disabled by writing respectively pio_puer (pull-up enable register) and pio_pudr (pull- up disable resistor). writing in these registers re sults in setting or clearing the corresponding bit in pio_pusr (pull-up status register). readi ng a 1 in pio_pusr means the pull-up is dis- abled and reading a 0 means the pull-up is enabled. control of the pull-up resistor is possible regardless of the configuration of the i/o line. after reset, all of the pull-ups are enabled, i.e. pio_pusr resets at the value 0x0. 31.4.2 i/o line or peripheral function selection when a pin is multiplexed with one or two periph eral functions, the selection is controlled with the registers pio_per (pio enable register) and pio_pdr (pio disable register). the regis- ter pio_psr (pio status register) is the resu lt of the set and clear registers and indicates whether the pin is controlled by the corresponding peripheral or by the pio controller. a value of 0 indicates that the pin is controlled by the co rresponding on-chip peripheral selected in the pio_absr (ab select status regist er). a value of 1 indicates the pin is controlled by the pio controller. if a pin is used as a general purpose i/o line (not multiplexed with an on-chip peripheral), pio_per and pio_pdr have no effect and pio_psr returns 1 for the corresponding bit. after reset, most generally, the i/o lines are controlled by the pio controller, i.e. pio_psr resets at 1. however, in some events, it is important that pio lines are controlled by the periph- eral (as in the case of memory chip select lines that must be driven inactive after reset or for address lines that must be driven low for booting out of an external memory). thus, the reset value of pio_psr is defined at the product level, depending on the multiplexing of the device. 31.4.3 peripheral a or b selection the pio controller provides multiplexing of up to two peripheral functions on a single pin. the selection is performed by writing pio_asr (a select register) and pio_bsr (select b regis- ter). pio_absr (ab select status register) indicates which peripheral line is currently selected. for each pin, the corresponding bit at level 0 means peripheral a is selected whereas the corre- sponding bit at level 1 indicates that peripheral b is selected. note that multiplexing of peripheral lines a and b only affects the output line. the peripheral input lines are always connected to the pin input. after reset, pio_absr is 0, thus indicating that all th e pio lines are config ured on peripheral a. however, peripheral a generally does not drive the pin as the pio controller resets in i/o line mode. writing in pio_asr an d pio_bsr manages pio_absr regardless of th e configuration of the pin. however, assignment of a pin to a peripheral function requires a write in the corresponding peripheral selection register (pio_asr or pio_bsr) in addition to a write in pio_pdr. 31.4.4 output control when the i/0 line is assigned to a peripheral func tion, i.e. the corresponding bit in pio_psr is at 0, the drive of the i/o line is controlled by the peripheral. peripheral a or b, depending on the value in pio_absr, determines whet her the pin is driven or not. when the i/o line is controlled by the pio controller, the pin can be configured to be driven. this is done by writing pio_oer (output enable register) and pio_odr (output disable register).
352 6289d?atarm?3-oct-11 at91sam9r64/rl64 the results of these write operations are detected in pio_osr (output status register). when a bit in this register is at 0, the corresponding i/o line is used as an input only. when the bit is at 1, the corresponding i/o line is driven by the pio controller. the level driven on an i/o line can be determined by writing in pio_sodr (set output data register) and pio_codr (cle ar output data register). these write operations respectively set and clear pio_odsr (output data status register ), which represents the data driven on the i/o lines. writing in pio_oer and pio_odr manage s pio_osr whether the pin is configured to be controlled by the pio controller or assigned to a peripheral function. this enables configura- tion of the i/o line prior to setting it to be managed by the pio controller. similarly, writing in pio_sodr and pio_codr effects pio_odsr. this is important as it defines the first level driven on the i/o line. 31.4.5 synchronous data output controlling all paralle l busses using several pios requires two successive write operations in the pio_sodr and pio_codr registers. this may lead to unexpected transient values. the pio controller offers a direct control of pio outputs by single write access to pio_odsr (output data status register). only bits unmasked by pio_owsr (output write status register) are written. the mask bits in the pio_owsr are se t by writing to pio_ower (output write enable register) and cleared by writing to pio_owdr (output write disable register). after reset, the synchronous data output is disabled on all the i/o lines as pio_owsr resets at 0x0. 31.4.6 multi drive control (open drain) each i/o can be independently programmed in open drain by using the multi drive feature. this feature permits several drivers to be connected on the i/o line which is driven low only by each device. an external pull-up resistor (or enabling of the internal one) is generally required to guar- antee a high level on the line. the multi drive feature is controlled by pio_mder (multi-driver enable register) and pio_mddr (multi-driver disable register). the multi drive can be selected whether the i/o line is controlled by the pio controller or assigned to a peripheral function. pio_mdsr (multi-driver status register) indicates the pins that are configured to support external drivers. after reset, the multi drive feature is disabled on all pins, i.e. pio_mdsr resets at value 0x0. 31.4.7 output line timings figure 31-4 shows how the outputs are driven either by writing pio_sodr or pio_codr, or by directly writing pio_odsr. this last case is va lid only if the corresponding bit in pio_owsr is set. figure 31-4 also shows when the feedback in pio_pdsr is available.
353 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 31-4. output line timings 31.4.8 inputs the level on each i/o line can be read through pio_pdsr (pin data status register). this reg- ister indicates the level of the i/o lines regardless of their configuration, whether uniquely as an input or driven by the pio controller or driven by a peripheral. reading the i/o line levels requires the clock of the pio controller to be enabled, otherwise pio_pdsr reads the levels present on the i/o line at the time the clock was disabled. 31.4.9 input glitch filtering optional input glitch filters are independently programmable on each i/o line. when the glitch fil- ter is enabled, a glitch with a duration of less than 1/2 master clock (mck) cycle is automatically rejected, while a pulse with a duration of 1 mast er clock cycle or more is accepted. for pulse durations between 1/2 master clock cycle and 1 master clock cycle the pulse may or may not be taken into account, depending on the precise timing of its occurrence. thus for a pulse to be visible it must exceed 1 master clock cycle, whereas for a glitch to be reliably filtered out, its duration must not exceed 1/2 master clock cycle. the filter introduces one master clock cycle latency if the pin level change occurs before a rising edge. however, this latency does not appear if the pin level chan ge occurs before a falling ed ge. this is illustrated in figure 31-5 . the glitch filters are controlled by the regist er set; pio_ifer (input filter enable register), pio_ifdr (input filter disable register) and pio_ifsr (input filter status register). writing pio_ifer and pio_ifdr respectively sets and clears bits in pio_ifsr. this last register enables the glitch filt er on the i/o lines. when the glitch filter is enabled, it does not modify the behavior of the inputs on the peripherals. it acts only on the value read in pio_pdsr and on the input change interrupt detection. the glitch filters require that the pio controller clock is enabled. 2 cycles apb access 2 cycles apb access mck write pio_sodr write pio_odsr at 1 pio_odsr pio_pdsr write pio_codr write pio_odsr at 0
354 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 31-5. input glitch filter timing 31.4.10 input change interrupt the pio controller can be programmed to generate an interrupt when it detects an input change on an i/o line. the input change interrupt is cont rolled by writing pio_ier (interrupt enable register) and pio_idr (interrupt disable register), which respectively enable and disable the input change interrupt by setting and clearing the corresponding bit in pio_imr (interrupt mask register). as input change detection is possible only by comparing two successive samplings of the input of the i/o line, the pio controller clock must be enabled. the input change interrupt is available, regardless of the configuration of the i/o line, i.e. configured as an input only, con- trolled by the pio controller or assigned to a peripheral function. when an input change is detected on an i/o line, the corresponding bit in pio_isr (interrupt status register) is set. if the corresponding bit in pio_imr is set, the pio controller interrupt line is asserted. the interrupt signals of the thirty-two channels are ored-wired together to gen- erate a single interrupt signal to the advanced interrupt controller. when the software reads pio_isr, all the interrupts are automatically cleared. this signifies that all the interrupts that are pending when pio_isr is read must be handled. figure 31-6. input change interrupt timings 31.5 i/o lines programming example the programing example as shown in table 31-1 below is used to define the following configuration. ? 4-bit output port on i/o lines 0 to 3, (should be written in a single write operation), open-drain, with pull-up resistor mck pin level pio_pdsr if pio_ifsr = 0 pio_pdsr if pio_ifsr = 1 1 cycle 1 cycle 1 cycle up to 1.5 cycles 2 cycles up to 2.5 cycles up to 2 cycles 1 cycle 1 cycle mck pin level read pio_isr apb access pio_isr apb access
355 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? four output signals on i/o lines 4 to 7 (to drive leds for example), driven high and low, no pull-up resistor ? four input signals on i/o lines 8 to 11 (to read push-button states for example), with pull-up resistors, glitch filters and input change interrupts ? four input signals on i/o line 12 to 15 to read an external device status (polled, thus no input change interrupt), no pull-up resistor, no glitch filter ? i/o lines 16 to 19 assigned to peripheral a functions with pull-up resistor ? i/o lines 20 to 23 assigned to peripheral b functions, no pull-up resistor ? i/o line 24 to 27 assigned to peripheral a with input change interrupt and pull-up resistor table 31-1. programming example register value to be written pio_per 0x0000 ffff pio_pdr 0x0fff 0000 pio_oer 0x0000 00ff pio_odr 0x0fff ff00 pio_ifer 0x0000 0f00 pio_ifdr 0x0fff f0ff pio_sodr 0x0000 0000 pio_codr 0x0fff ffff pio_ier 0x0f00 0f00 pio_idr 0x00ff f0ff pio_mder 0x0000 000f pio_mddr 0x0fff fff0 pio_pudr 0x00f0 00f0 pio_puer 0x0f0f ff0f pio_asr 0x0f0f 0000 pio_bsr 0x00f0 0000 pio_ower 0x0000 000f pio_owdr 0x0fff fff0
356 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6 parallel input/output (pio ) controller user interface each i/o line controlled by the pio controller is associated with a bit in each of the pio control- ler user interface registers. each register is 32 bits wide. if a parallel i/o line is not defined, writing to the corresponding bits has no effect. undefined bits read zero. if the i/o line is not mul- tiplexed with any peripheral, the i/o line is controlled by the pio controller and pio_psr returns 1 systematically. table 31-2. register mapping offset register name access reset value 0x0000 pio enable register pio_per write-only ? 0x0004 pio disable register pio_pdr write-only ? 0x0008 pio status register pio_psr read-only (1) 0x000c reserved 0x0010 output enable register pio_oer write-only ? 0x0014 output disable register pio_odr write-only ? 0x0018 output status regist er pio_osr read-only 0x0000 0000 0x001c reserved 0x0020 glitch input filter enab le register pio_ifer write-only ? 0x0024 glitch input filter disab le register pio_ifdr write-only ? 0x0028 glitch input filter status register pio_ifsr read-only 0x0000 0000 0x002c reserved 0x0030 set output data register pio_sodr write-only ? 0x0034 clear output data register pio_codr write-only 0x0038 output data status register pio_odsr read-only or (2) read/write ? 0x003c pin data status register pio_pdsr read-only (3) 0x0040 interrupt enable register pio_ier write-only ? 0x0044 interrupt disable register pio_idr write-only ? 0x0048 interrupt mask register pio_imr read-only 0x00000000 0x004c interrupt status register (4) pio_isr read-only 0x00000000 0x0050 multi-driver enable register pio_mder write-only ? 0x0054 multi-driver disable register pio_mddr write-only ? 0x0058 multi-driver status re gister pio_mdsr read-only 0x00000000 0x005c reserved 0x0060 pull-up disable register pio_pudr write-only ? 0x0064 pull-up enable register pio_puer write-only ? 0x0068 pad pull-up status regi ster pio_pusr read-only 0x00000000 0x006c reserved
357 6289d?atarm?3-oct-11 at91sam9r64/rl64 notes: 1. reset value of pio_psr depends on the product implementation. 2. pio_odsr is read-only or read/write depending on pio_owsr i/o lines. 3. reset value of pio_pdsr depends on the level of the i/o line s. reading the i/o line levels requires the clock of the pio controller to be enabled, ot herwise pio_pdsr reads the levels present on the i/o line at the time the clock was disabled. 4. pio_isr is reset at 0x0. however, the first read of the register may read a different value as input changes may have occurred. 5. only this set of registers clears the stat us by writing 1 in the first register and sets the status by writing 1 in the secon d register. 0x0070 peripheral a select register (5) pio_asr write-only ? 0x0074 peripheral b select register (5) pio_bsr write-only ? 0x0078 ab status register (5) pio_absr read-only 0x00000000 0x007c to 0x009c reserved 0x00a0 output write enab le pio_ower write-only ? 0x00a4 output write disab le pio_owdr write-only ? 0x00a8 output write status re gister pio_owsr read-only 0x00000000 0x00ac reserved table 31-2. register mapping (continued) offset register name access reset value
358 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.1 pio controller pio enable register name: pio_per access type: write-only ? p0-p31: pio enable 0 = no effect. 1 = enables the pio to control the corresponding pin (disables peripheral control of the pin). 31.6.2 pio controller pio disable register name: pio_pdr access type: write-only ? p0-p31: pio disable 0 = no effect. 1 = disables the pio from controllin g the corresponding pin (enables peripheral contro l of the pin). 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
359 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.3 pio controller pio status register name: pio_psr access type: read-only ? p0-p31: pio status 0 = pio is inactive on the corresponding i/o line (peripheral is active). 1 = pio is active on the corresponding i/o line (peripheral is inactive). 31.6.4 pio controller output enable register name: pio_oer access type: write-only ? p0-p31: output enable 0 = no effect. 1 = enables the output on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
360 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.5 pio controller output disable register name: pio_odr access type: write-only ? p0-p31: output disable 0 = no effect. 1 = disables the output on the i/o line. 31.6.6 pio controller output status register name: pio_osr access type: read-only ? p0-p31: output status 0 = the i/o line is a pure input. 1 = the i/o line is enabled in output. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
361 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.7 pio controller input filter enable register name: pio_ifer access type: write-only ? p0-p31: input filter enable 0 = no effect. 1 = enables the input glitch filter on the i/o line. 31.6.8 pio controller input filter disable register name: pio_ifdr access type: write-only ? p0-p31: input filter disable 0 = no effect. 1 = disables the input glitch filter on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
362 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.9 pio controller input filter status register name: pio_ifsr access type: read-only ? p0-p31: input filer status 0 = the input glitch filter is disabled on the i/o line. 1 = the input glitch filter is enabled on the i/o line. 31.6.10 pio controller set output data register name: pio_sodr access type: write-only ? p0-p31: set output data 0 = no effect. 1 = sets the data to be driven on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
363 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.11 pio controller clear output data register name: pio_codr access type: write-only ? p0-p31: set output data 0 = no effect. 1 = clears the data to be driven on the i/o line. 31.6.12 pio controller output data status register name: pio_odsr access type: read-only or read/write ? p0-p31: output data status 0 = the data to be driven on the i/o line is 0. 1 = the data to be driven on the i/o line is 1. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
364 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.13 pio controller pin data status register name: pio_pdsr access type: read-only ? p0-p31: output data status 0 = the i/o line is at level 0. 1 = the i/o line is at level 1. 31.6.14 pio controller interrupt enable register name: pio_ier access type: write-only ? p0-p31: input change interrupt enable 0 = no effect. 1 = enables the input change interrupt on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
365 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.15 pio controller interrupt disable register name: pio_idr access type: write-only ? p0-p31: input change interrupt disable 0 = no effect. 1 = disables the input change interrupt on the i/o line. 31.6.16 pio controller interrupt mask register name: pio_imr access type: read-only ? p0-p31: input change interrupt mask 0 = input change interrupt is disabled on the i/o line. 1 = input change interrupt is enabled on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
366 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.17 pio controller interrupt status register name: pio_isr access type: read-only ? p0-p31: input change interrupt status 0 = no input change has been detected on the i/o line since pio_isr was last read or since reset. 1 = at least one input change has been detected on the i/o line since pio_isr was last read or since reset. 31.6.18 pio multi-driver enable register name: pio_mder access type: write-only ? p0-p31: multi drive enable. 0 = no effect. 1 = enables multi drive on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
367 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.19 pio multi-driver disable register name: pio_mddr access type: write-only ? p0-p31: multi drive disable. 0 = no effect. 1 = disables multi drive on the i/o line. 31.6.20 pio multi-driver status register name: pio_mdsr access type: read-only ? p0-p31: multi drive status. 0 = the multi drive is disabled on the i/o line. the pin is driven at high and low level. 1 = the multi drive is enabled on the i/o lin e. the pin is driven at low level only. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
368 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.21 pio pull up disable register name: pio_pudr access type: write-only ? p0-p31: pull up disable. 0 = no effect. 1 = disables the pull up resistor on the i/o line. 31.6.22 pio pull up enable register name: pio_puer access type: write-only ? p0-p31: pull up enable. 0 = no effect. 1 = enables the pull up resistor on the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
369 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.23 pio pull up status register name: pio_pusr access type: read-only ? p0-p31: pull up status. 0 = pull up resistor is enabled on the i/o line. 1 = pull up resistor is disabled on the i/o line. 31.6.24 pio peripheral a select register name: pio_asr access type: write-only ? p0-p31: peripheral a select. 0 = no effect. 1 = assigns the i/o line to the peripheral a function. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
370 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.25 pio peripheral b select register name: pio_bsr access type: write-only ? p0-p31: peripheral b select. 0 = no effect. 1 = assigns the i/o line to the peripheral b function. 31.6.26 pio peripheral a b status register name: pio_absr access type: read-only ? p0-p31: peripheral a b status. 0 = the i/o line is assigned to the peripheral a. 1 = the i/o line is assigned to the peripheral b. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
371 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.27 pio output write enable register name: pio_ower access type: write-only ? p0-p31: output write enable. 0 = no effect. 1 = enables writing pio_odsr for the i/o line. 31.6.28 pio output write disable register name: pio_owdr access type: write-only ? p0-p31: output write disable. 0 = no effect. 1 = disables writing pio_odsr for the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
372 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6.29 pio output write status register name: pio_owsr access type: read-only ? p0-p31: output write status. 0 = writing pio_odsr does not affect the i/o line. 1 = writing pio_odsr affects the i/o line. 31 30 29 28 27 26 25 24 p31 p30 p29 p28 p27 p26 p25 p24 23 22 21 20 19 18 17 16 p23 p22 p21 p20 p19 p18 p17 p16 15 14 13 12 11 10 9 8 p15 p14 p13 p12 p11 p10 p9 p8 76543210 p7 p6 p5 p4 p3 p2 p1 p0
373 6289d?atarm?3-oct-11 at91sam9r64/rl64 32. serial peripheral interface (spi) 32.1 description the serial peripheral interface (spi) circuit is a synchronous serial data link that provides com- munication with external devices in master or slave mode. it also enables communication between processors if an external processor is connected to the system. the serial peripheral interface is essentially a shift register that serially transmits data bits to other spis. during a data transfer, one spi syste m acts as the ?master?' which controls the data flow, while the other devices act as ?slaves'' whic h have data shifted into and out by the master. different cpus can take turn being masters (multiple master protocol opposite to single master protocol where one cpu is always the master while all of the others are always slaves) and one master may simultaneously shift da ta into multiple slaves. howeve r, only one slave may drive its output to write data back to the master at any given time. a slave device is selected when the master asse rts its nss signal. if multiple slave devices exist, the master generates a separate slav e select signal for each slave (npcs). the spi system consists of two data lines and two control lines: ? master out slave in (mosi): this data line supplies the output data from the master shifted into the input(s) of the slave(s). ? master in slave out (miso): this data line supplies the output data from a slave to the input of the master. there may be no more than one slave transmitting data during any particular transfer. ? serial clock (spck): this control line is driven by the master and regulates the flow of the data bits. the master may transmit data at a variety of baud rates; the spck line cycles once for each bit that is transmitted. ? slave select (nss): this control line allows slaves to be turned on and off by hardware.
374 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.2 block diagram figure 32-1. block diagram 32.3 application block diagram figure 32-2. application block diagram: single master/multiple slave implementation spi interface interrupt control pio pdc pmc mck spi interrupt spck miso mosi npcs0/nss npcs1 npcs2 npcs3 apb spi master spck miso mosi npcs0 npcs1 npcs2 spck miso mosi nss slave 0 spck miso mosi nss slave 1 spck miso mosi nss slave 2 nc npcs3
375 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.4 signal description 32.5 product dependencies 32.5.1 i/o lines the pins used for interfacing the compliant ex ternal devices may be multiplexed with pio lines. the programmer must first program the pio controllers to assign the spi pins to their peripheral functions. 32.5.2 power management the spi may be clocked through the power management controller (pmc), thus the program- mer must first configure the pmc to enable the spi clock. 32.5.3 interrupt the spi interface has an interrupt line connected to the advanced interrupt controller (aic). handling the spi interrupt requires programming the aic before configuring the spi. table 32-1. signal description pin name pin description type master slave miso master in slave out input output mosi master out slave in output input spck serial clock output input npcs1-npcs3 peripheral chip selects output unused npcs0/nss peripheral chip select/slave select output input
376 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.6 functional description 32.6.1 modes of operation the spi operates in master mode or in slave mode. operation in master mode is programmed by writing at 1 the mstr bit in the mode register. the pins npcs0 to npcs3 are all configured as outputs, the spck pin is driven, the miso line is wired on the receiver input and the mosi line driven as an output by the transmitter. if the mstr bit is written at 0, the spi operates in slave mode. the miso line is driven by the transmitter output, the mosi line is wired on the re ceiver input, the spck pin is driven by the transmitter to synchronize the receiver. the npcs0 pin becomes an input, and is used as a slave select signal (nss). the pins npcs1 to npcs3 are not driven and can be used for other purposes. the data transfers are identically programmable for both modes of operations. the baud rate generator is activated only in master mode. 32.6.2 data transfer four combinations of polarity and phase are available for data transfers. the clock polarity is programmed with the cpol bit in the chip select register. the clock phase is programmed with the ncpha bit. these two parameters determine th e edges of the clock signal on which data is driven and sampled. each of the two parameters has two possible states, resulting in four possi- ble combinations that are incompatible with one another. thus, a master/slave pair must use the same parameter pair values to communicate. if multiple slaves are used and fixed in different configurations, the master must reconfigure itself each time it needs to communicate with a dif- ferent slave. table 32-2 shows the four modes and corresponding parameter settings. figure 32-3 and figure 32-4 show examples of data transfers. table 32-2. spi bus protocol mode spi mode cpol ncpha 001 100 211 310
377 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 32-3. spi transfer format (ncpha = 1, 8 bits per transfer) figure 32-4. spi transfer format (ncpha = 0, 8 bits per transfer) 6 * spck (cpol = 0) spck (cpol = 1) mosi (from master) miso (from slave) nss (to slave) spck cycle (for reference) msb msb lsb lsb 6 6 5 5 4 4 3 3 2 2 1 1 * not defined, but normally msb of previous character received. 1 2345 78 6 * spck (cpol = 0) spck (cpol = 1) 1 2345 7 mosi (from master) miso (from slave) nss (to slave) spck cycle (for reference) 8 msb msb lsb lsb 6 6 5 5 4 4 3 3 1 1 * not defined but normally lsb of previous character transmitted. 2 2 6
378 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.6.3 master mode operations when configured in master mode, the spi operates on the clock generated by the internal pro- grammable baud rate generator. it fully controls the data transfers to and from the slave(s) connected to the spi bus. the spi drives the chip select line to the slave and the serial clock signal (spck). the spi features two holding registers, the transmit data register and the receive data regis- ter, and a single shift register. the holding registers maintain the data flow at a constant rate. after enabling the spi, a data transfer begins when the processor writes to the spi_tdr (trans- mit data register). the written data is immediat ely transferred in the shift register and transfer on the spi bus starts. while the data in the shift register is shifted on the mosi line, the miso line is sampled and shifted in the shift register. transmission cannot occur without reception. before writing the tdr, the pcs field must be set in order to select a slave. if new data is written in spi_tdr during the transfer, it stays in it until the current transfer is completed. then, the received data is transferred from the shift register to spi_rdr, the data in spi_tdr is loaded in the shift register and a new transfer starts. the transfer of a data written in spi_tdr in t he shift register is indicated by the tdre bit (transmit data register empty) in the status register (spi_sr). when new data is written in spi_tdr, this bit is cleared. the tdre bit is used to trigger the transmit pdc channel. the end of transfer is indicated by the txempty flag in the spi_sr register. if a transfer delay (dlybct) is greater than 0 for the last transfer, txempty is set after the completion of said delay. the master clock (mck) can be switched off at this time. the transfer of received data from the shift register in spi_rdr is indicated by the rdrf bit (receive data register full) in the status register (spi_sr). when the received data is read, the rdrf bit is cleared. if the spi_rdr (receive data register) has not been read before new data is received, the overrun error bit (ovres) in spi_sr is set. as long as this flag is set, data is loaded in spi_rdr. the user has to read the status register to clear the ovres bit. figure 32-6 on page 380 shows a block diagram of the spi when operating in master mode. fig- ure 32-6 on page 380 shows a flow chart describing how transfers are handled.
379 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.6.3.1 master mode block diagram figure 32-5. master mode block diagram shift register spck mosi lsb msb miso spi_rdr rd spi clock tdre spi_tdr td rdrf ovres spi_csr0..3 cpol ncpha bits mck baud rate generator spi_csr0..3 scbr npcs3 npcs0 npcs2 npcs1 npcs0 0 1 ps spi_mr pcs spi_tdr pcs modf current peripheral spi_rdr pcs spi_csr0..3 csaat pcsdec modfdis mstr
380 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.6.3.2 master mode flow diagram figure 32-6. master mode flow diagram s spi enable csaat ? ps ? 1 0 0 1 1 npcs = spi_tdr(pcs) npcs = spi_mr(pcs) delay dlybs serializer = spi_tdr(td) tdre = 1 data transfer spi_rdr(rd) = serializer rdrf = 1 tdre ? npcs = 0xf delay dlybcs fixed peripheral variable peripheral delay dlybct 0 1 csaat ? 0 tdre ? 1 0 ps ? 0 1 spi_tdr(pcs) = npcs ? no yes spi_mr(pcs) = npcs ? no npcs = 0xf delay dlybcs npcs = spi_tdr(pcs) npcs = 0xf delay dlybcs npcs = spi_mr(pcs), spi_tdr(pcs) fixed peripheral variable peripheral - npcs defines the current chip select - csaat, dlybs, dlybct refer to the fields of the chip select register corresponding to the current chip select - when npcs is 0xf, csaat is 0.
381 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.6.3.3 clock generation the spi baud rate clock is generated by dividing the master clock (mck) by a value between 1 and 255. this allows a maximum operating baud rate at up to master clock and a minimum operating baud rate of mck divided by 255. programming the scbr field at 0 is forbidden. tr iggering a transfer while scbr is at 0 can lead to unpredictable results. at reset, scbr is 0 and the user has to program it at a valid value before performing the first transfer. the divisor can be defined independently for each chip select, as it has to be programmed in the scbr field of the chip select registers. this allows the spi to automatically adapt the baud rate for each interfaced peripheral without reprogramming. 32.6.3.4 transfer delays figure 32-7 shows a chip select transfer change and consecutive transfers on the same chip select. three delays can be programmed to modify the transfer waveforms: ? the delay between chip selects, programmable only once for all the ch ip selects by writing the dlybcs field in the mode register. allows insertion of a delay between release of one chip select and before assertion of a new one. ? the delay before spck, independently programmable for each chip select by writing the field dlybs. allows the start of spck to be delayed after the chip select has been asserted. ? the delay between consecutive transfers, independently programmable for each chip select by writing the dlybct field. allows insertion of a delay between two transfers occurring on the same chip select these delays allow the spi to be adapted to the interfaced peripherals and their speed and bus release time. figure 32-7. programmable delays 32.6.3.5 peripheral selection the serial peripherals are selected through the assertion of the npcs0 to npcs3 signals. by default, all the npcs signals are high before and after each transfer. the peripheral selection can be performed in two different ways: ? fixed peripheral select: spi exchanges data with only one peripheral dlybcs dlybs dlybct dlybct chip select 1 chip select 2 spck
382 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? variable peripheral select: data can be exchanged with more than one peripheral fixed peripheral select is activated by writing the ps bit to zero in spi_mr (mode register). in this case, the current peripheral is defined by the pcs field in spi_mr and the pcs field in the spi_tdr has no effect. variable peripheral select is activated by se tting ps bit to one. the pcs field in spi_tdr is used to select the current peripheral. this means that the peripheral selection can be defined for each new data. the fixed peripheral selection allows buffer transfers with a single peripheral. using the pdc is an optimal means, as the size of the data transfer between the memory and the spi is either 8 bits or 16 bits. however, changing the peripheral selection requires the mode register to be reprogrammed. the variable peripheral selection allows buffer transfers with multiple peripherals without repro- gramming the mode register. data written in spi_tdr is 32 bits wide and defines the real data to be transmitted and the peripheral it is desti ned to. using the pdc in this mode requires 32-bit wide buffers, with the data in the lsbs and the pcs and lastxfer fields in the msbs, how- ever the spi still controls the number of bits (8 to16) to be transferre d through miso and mosi lines with the chip select configuration registers. this is not the optimal means in term of mem- ory size for the buffers, but it provides a very effective means to exchange data with several peripherals without any intervention of the processor. 32.6.3.6 peripheral chip select decoding the user can program the spi to operate with up to 15 peripherals by decoding the four chip select lines, npcs0 to npcs3 with an external l ogic. this can be enabled by writing the pcs- dec bit at 1 in the mode register (spi_mr). when operating without decoding, the spi makes sure that in any case only one chip select line is activated, i.e. driven low at a time. if two bits are defined low in a pcs field, only the lowest numbered chip select is driven low. when operating with decoding, the spi directly outputs the value defined by the pcs field of either the mode register or the transmit data register (depending on ps). as the spi sets a default value of 0xf on the chip select lines (i.e. all chip select lines at 1) when not processing any transfer, only 15 peripherals can be decoded. the spi has only four chip select registers, not 15. as a result, when decoding is activated, each chip select defines the characteristics of up to four peripherals. as an example, spi_crs0 defines the characteristics of the externally decoded peripherals 0 to 3, corresponding to the pcs values 0x0 to 0x3. thus, the user has to make sure to connect compatible peripherals on the decoded chip select lines 0 to 3, 4 to 7, 8 to 11 and 12 to 14. 32.6.3.7 peripheral deselection when operating normally, as soon as the transfer of the last data written in spi_tdr is com- pleted, the npcs lines all rise. this might lead to runtime error if the processor is too long in responding to an interrupt, and thus might lead to difficulties for interfacing with some serial peripherals requiring the chip select line to remain active during a full set of transfers. to facilitate interfacing with such devices, the chip select regist er can be prog rammed with the csaat bit (chip select active afte r transfer) at 1. this allows th e chip select lines to remain in their current state (low = active) until transfer to another peripheral is required.
383 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 32-8 shows different peripheral deselection cases and the effect of the csaat bit. figure 32-8. peripheral deselection 32.6.3.8 mode fault detection a mode fault is detected when the spi is programmed in master mode and a low level is driven by an external master on the npcs0/nss sign al. npcs0, mosi, miso and spck must be con- figured in open drain through the pio controller, so that external pull up resistors are needed to guarantee high level. when a mode fault is detected, the modf bit in the spi_sr is set until the spi_sr is read and the spi is automatically disabl ed until re-enabled by writing t he spien bit in the spi_cr (con- trol register) at 1. by default, the mode fault detection circuitr y is enabled. the user can disable mode fault detection by setting the modfdis bit in the spi mode register (spi_mr). 32.6.4 spi slave mode when operating in slave mode, the spi processes data bits on the clock provided on the spi clock pin (spck). the spi waits for nss to go active before receiving the serial clock from an external master. when nss falls, the clock is validated on the serializer, which processes the number of bits a npcs[0..3] write spi_tdr tdre npcs[0..3] write spi_tdr tdre npcs[0..3] write spi_tdr tdre dlybcs pcs = a dlybcs dlybct a pcs = b b dlybcs pcs = a dlybcs dlybct a pcs = b b dlybcs dlybct pcs=a a dlybcs dlybct a pcs = a a a dlybct aa csaat = 0 dlybct aa csaat = 1 a
384 6289d?atarm?3-oct-11 at91sam9r64/rl64 defined by the bits field of the chip select register 0 (spi_csr0). these bits are processed following a phase and a polarity defined respectively by the ncpha and cpol bits of the spi_csr0. note that bits, cpol and ncpha of the other chip select registers have no effect when the spi is programmed in slave mode. the bits are shifted out on the miso line and sampled on the mosi line. when all the bits are processed, the received data is transferred in the receive data register and the rdrf bit rises. if the spi_rdr (receive data register) has no t been read be fore new data is received, the overrun error bit (ovres) in spi_sr is set. as long as this flag is set, data is loaded in spi_rdr. the user has to read the status register to clear the ovres bit. when a transfer starts, the data shifted out is the data present in the shift register. if no data has been written in the transmit data register (spi_tdr), the la st data received is transferred. if no data has been received since the last reset, all bits are transmitted low, as the shift regis- ter resets at 0. when a first data is written in sp i_tdr, it is transferred immediat ely in the shift register and the tdre bit rises. if new data is wr itten, it remains in spi_tdr unt il a transfer occurs, i.e. nss falls and there is a valid clock on the spck pin. w hen the transfer occurs, the last data written in spi_tdr is transferred in the shift register and the tdre bit rises. this enables frequent updates of critical variables with single transfers. then, a new data is loaded in the shift register from the transmit data register. in case no character is ready to be transmitted, i.e. no character has been written in spi_tdr since the last load from spi_tdr to the shift register, the shift register is not modified and the last received character is retransmitted. figure 32-9 shows a block diagram of the spi when operating in slave mode. figure 32-9. slave mode functional block diagram shift register spck spiens lsb msb nss mosi spi_rdr rd spi clock tdre spi_tdr td rdrf ovres spi_csr0 cpol ncpha bits spien spidis miso
385 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7 serial peripheral inte rface (spi) user interface table 32-3. spi register mapping offset register register name access reset 0x00 control register spi_cr write-only --- 0x04 mode register spi_mr read/write 0x0 0x08 receive data register spi_rdr read-only 0x0 0x0c transmit data register spi_tdr write-only --- 0x10 status register spi_sr read-only 0x000000f0 0x14 interrupt enable register spi_ier write-only --- 0x18 interrupt disable register spi_idr write-only --- 0x1c interrupt mask register spi_imr read-only 0x0 0x20 - 0x2c reserved 0x30 chip select register 0 spi_csr0 read/write 0x0 0x34 chip select register 1 spi_csr1 read/write 0x0 0x38 chip select register 2 spi_csr2 read/write 0x0 0x3c chip select register 3 spi_csr3 read/write 0x0 0x004c - 0x00f8 reserved ? ? ? 0x004c - 0x00fc reserved ? ? ? 0x100 - 0x124 reserved for the pdc
386 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.1 spi control register name: spi_cr access type: write-only ? spien: spi enable 0 = no effect. 1 = enables the spi to transfer and receive data. ? spidis: spi disable 0 = no effect. 1 = disables the spi. as soon as spidis is set, spi finishes its transfer. all pins are set in input mode and no data is received or transmitted. if a transfer is in progress, the transfer is finished before the spi is disabled. if both spien and spidis are equal to one when the control register is written, the spi is disabled. ? swrst: spi software reset 0 = no effect. 1 = reset the spi. a software-triggered hardware reset of the spi interface is performed. the spi is in slave mode after software reset. pdc channels are not affected by software reset. ? lastxfer: last transfer 0 = no effect. 1 = the current npcs will be deasserted afte r the character written in td has been transferred. when csaat is set, this allows to close the communication with the current serial peri pheral by raising the correspo nding npcs line as soon as td transfer has completed. 31 30 29 28 27 26 25 24 ???????lastxfer 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 swrst?????spidisspien
387 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.2 spi mode register name: spi_mr access type: read/write ? mstr: master/slave mode 0 = spi is in slave mode. 1 = spi is in master mode. ? ps: peripheral select 0 = fixed peripheral select. 1 = variable peripheral select. ? pcsdec: chip select decode 0 = the chip selects are directly connected to a peripheral device. 1 = the four chip select lines are connected to a 4- to 16-bit decoder. when pcsdec equals one, up to 15 chip select signals can be generated with the four lines using an external 4- to 16-bit decoder. the chip select registers define the characteristics of the 15 chip selects according to the following rules: spi_csr0 defines peripheral chip select signals 0 to 3. spi_csr1 defines peripheral chip select signals 4 to 7. spi_csr2 defines peripheral chip select signals 8 to 11. spi_csr3 defines peripheral chip select signals 12 to 14. ? modfdis: mode fault detection 0 = mode fault detection is enabled. 1 = mode fault detection is disabled. ? llb: local loopback enable 0 = local loopback path disabled. 1 = local loopback path enabled. llb controls the local loopback on the data serializer for te sting in master mode only. (miso is internally connected on mosi.) ? pcs: peripheral chip select this field is only used if fixed peripheral select is active (ps = 0). 31 30 29 28 27 26 25 24 dlybcs 23 22 21 20 19 18 17 16 ???? pcs 15 14 13 12 11 10 9 8 ???????? 76543210 llb ? ? modfdis pcsdec ps mstr
388 6289d?atarm?3-oct-11 at91sam9r64/rl64 if pcsdec = 0: pcs = xxx0 npcs[3:0] = 1110 pcs = xx01 npcs[3:0] = 1101 pcs = x011 npcs[3:0] = 1011 pcs = 0111 npcs[3:0] = 0111 pcs = 1111 forbidden (no peripheral is selected) (x = don?t care) if pcsdec = 1: npcs[3:0] output signals = pcs. ? dlybcs: delay between chip selects this field defines the delay from npcs inactive to the ac tivation of another npcs. the dlybcs time guarantees non-over- lapping chip selects and solves bus contentions in case of peripherals having long data float times. if dlybcs is less than or eq ual to six, six mck periods will be inserted by default. otherwise, the following equat ion determines the delay: delay between chip selects dlybcs mck ---------------------- - =
389 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.3 spi receive data register name: spi_rdr access type: read-only ? rd: receive data data received by the spi interface is stored in this register right-justified. unused bits read zero. ? pcs: peripheral chip select in master mode only, these bits indicate the value on the npcs pins at the end of a transfer. otherwise, these bits read zero. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???? pcs 15 14 13 12 11 10 9 8 rd 76543210 rd
390 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.4 spi transmit data register name: spi_tdr access type: write-only ? td: transmit data data to be transmitted by the spi interface is stored in this register. information to be transmitted must be written to the transmit data register in a right-justified format. pcs: peripheral chip select this field is only used if variable peripheral select is active (ps = 1). if pcsdec = 0: pcs = xxx0 npcs[3:0] = 1110 pcs = xx01 npcs[3:0] = 1101 pcs = x011 npcs[3:0] = 1011 pcs = 0111 npcs[3:0] = 0111 pcs = 1111 forbidden (no peripheral is selected) (x = don?t care) if pcsdec = 1: npcs[3:0] output signals = pcs ? lastxfer: last transfer 0 = no effect. 1 = the current npcs will be deasserted afte r the character written in td has been transferred. when csaat is set, this allows to close the communication with the current serial peri pheral by raising the correspo nding npcs line as soon as td transfer has completed. this field is only used if variable peripheral select is active (ps = 1). 31 30 29 28 27 26 25 24 ???????lastxfer 23 22 21 20 19 18 17 16 ???? pcs 15 14 13 12 11 10 9 8 td 76543210 td
391 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.5 spi status register name: spi_sr access type: read-only ? rdrf: receive data register full 0 = no data has been received since the last read of spi_rdr 1 = data has been received and the received data has been transferred from the serializer to spi_rdr since the last read of spi_rdr. ? tdre: transmit data register empty 0 = data has been written to spi_tdr and not yet transferred to the serializer. 1 = the last data written in the transmit data register has been transferred to the serializer. tdre equals zero when the spi is disabled or at reset. the spi enable command sets this bit to one. ? modf: mode fault error 0 = no mode fault has been detected since the last read of spi_sr. 1 = a mode fault occurred since the last read of the spi_sr. ? ovres: overrun error status 0 = no overrun has been detected since the last read of spi_sr. 1 = an overrun has occurred since the last read of spi_sr. an overrun occurs when spi_r dr is loaded at least twice from the serializer since the last read of the spi_rdr. ? endrx: end of rx buffer 0 = the receive counter register has not reached 0 since the last write in spi_rcr (1) or spi_rncr (1) . 1 = the receive counter register has reached 0 since the last write in spi_rcr (1) or spi_rncr (1) . ? endtx: end of tx buffer 0 = the transmit counter register has not reached 0 since the last write in spi_tcr (1) or spi_tncr (1) . 1 = the transmit counter register has reached 0 since the last write in spi_tcr (1) or spi_tncr (1) . ? rxbuff: rx buffer full 0 = spi_rcr (1) or spi_rncr (1) has a value other than 0. 1 = both spi_rcr (1) and spi_rncr (1) have a value of 0. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????spiens 15 14 13 12 11 10 9 8 ??????txemptynssr 76543210 txbufe rxbuff endtx endrx ovres modf tdre rdrf
392 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? txbufe: tx buffer empty 0 = spi_tcr (1) or spi_tncr (1) has a value other than 0. 1 = both spi_tcr (1) and spi_tncr (1) have a value of 0. ? nssr: nss rising 0 = no rising edge detected on nss pin since last read. 1 = a rising edge occurred on nss pin since last read. ? txempty: transmission registers empty 0 = as soon as data is written in spi_tdr. 1 = spi_tdr and internal shifter are empty. if a transfer delay has been defined, txempty is set after the completion of such delay. ? spiens: spi enable status 0 = spi is disabled. 1 = spi is enabled. note: 1. spi_rcr, spi_rncr, spi_tcr, spi_tncr are physically located in the pdc.
393 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.6 spi interrupt enable register name: spi_ier access type: write-only ? rdrf: receive data register full interrupt enable ? tdre: spi transmit data regi ster empty interrupt enable ? modf: mode fault error interrupt enable ? ovres: overrun error interrupt enable ? endrx: end of receive buffer interrupt enable ? endtx: end of transmit buffer interrupt enable ? rxbuff: receive buffer full interrupt enable ? txbufe: transmit buffer empty interrupt enable ? txempty: transmission registers empty enable ? nssr: nss rising interrupt enable 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????txemptynssr 76543210 txbufe rxbuff endtx endrx ovres modf tdre rdrf
394 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.7 spi interrupt disable register name: spi_idr access type: write-only ? rdrf: receive data register full interrupt disable ? tdre: spi transmit data register empty interrupt disable ? modf: mode fault error interrupt disable ? ovres: overrun error interrupt disable ? endrx: end of receive buffer interrupt disable ? endtx: end of transmit buffer interrupt disable ? rxbuff: receive buffer full interrupt disable ? txbufe: transmit buffer empty interrupt disable ? txempty: transmission registers empty disable ? nssr: nss rising interrupt disable 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????txemptynssr 76543210 txbufe rxbuff endtx endrx ovres modf tdre rdrf
395 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.8 spi interrupt mask register name: spi_imr access type: read-only ? rdrf: receive data register full interrupt mask ? tdre: spi transmit data register empty interrupt mask ? modf: mode fault error interrupt mask ? ovres: overrun error interrupt mask ? endrx: end of receive buffer interrupt mask ? endtx: end of transmit buffer interrupt mask ? rxbuff: receive buffer full interrupt mask ? txbufe: transmit buffer empty interrupt mask ? txempty: transmission registers empty mask ? nssr: nss rising interrupt mask 0 = the corresponding interrupt is not enabled. 1 = the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????txemptynssr 76543210 txbufe rxbuff endtx endrx ovres modf tdre rdrf
396 6289d?atarm?3-oct-11 at91sam9r64/rl64 32.7.9 spi chip select register name: spi_csr0... spi_csr3 access type: read/write ? cpol: clock polarity 0 = the inactive state value of spck is logic level zero. 1 = the inactive state value of spck is logic level one. cpol is used to determine the inactive state value of the serial clock (spck). it is used with ncpha to produce the required clock/data relationship between master and slave devices. ? ncpha: clock phase 0 = data is changed on the leading edge of spck and captured on the following edge of spck. 1 = data is captured on the leading edge of spck and changed on the following edge of spck. ncpha determines which edge of spck causes data to change and which edge causes data to be captured. ncpha is used with cpol to produce the required clock/da ta relationship between master and slave devices. ? csaat: chip select active after transfer 0 = the peripheral chip select line rises as soon as the last transfer is achieved. 1 = the peripheral chip select does not rise after the last transfer is achieved. it remains active until a new transfer is requested on a different chip select. ? bits: bits per transfer the bits field determines the number of data bits transferred. reserved values should not be used. 31 30 29 28 27 26 25 24 dlybct 23 22 21 20 19 18 17 16 dlybs 15 14 13 12 11 10 9 8 scbr 76543210 bits csaat ? ncpha cpol bits bits per transfer 0000 8 0001 9 0010 10 0011 11 0100 12 0101 13 0110 14 0111 15 1000 16
397 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? scbr: serial clock baud rate in master mode, the spi interface uses a modulus counter to derive the spck baud rate from the master clock mck. the baud rate is selected by writing a value from 1 to 255 in the scbr field. the following equations determine the spck baud rate: programming the scbr field at 0 is forbidden. triggering a trans fer while scbr is at 0 can le ad to unpredictable results. at reset, scbr is 0 and the user has to program it at a valid value before performing the first transfer. ? dlybs: delay before spck this field defines the delay from npcs valid to the first valid spck transition. when dlybs equals zero, the npcs valid to spck transition is 1/2 the spck clock period. otherwise, the following equations determine the delay: ? dlybct: delay between consecutive transfers this field defines the delay between two consecutive transfers with the same perip heral without removing the chip select. the delay is always inserted after each transfer and before removing the chip select if needed. when dlybct equals zero, no delay between consecutive transf ers is inserted and the clock keeps its duty cycle over the character transfers. otherwise, the following equat ion determines the delay: 1001 reserved 1010 reserved 1011 reserved 1100 reserved 1101 reserved 1110 reserved 1111 reserved bits bits per transfer spck baudrate mck scbr -------------- - = delay before spck dlybs mck ------------------ - = delay between consecutive transfers 32 dlybct mck ------------------------------------ =
398 6289d?atarm?3-oct-11 at91sam9r64/rl64
399 6289d?atarm?3-oct-11 at91sam9r64/rl64 33. two-wire interface (twi) 33.1 description the atmel two-wire interface (twi) interconnects components on a unique two-wire bus, made up of one clock line and one data line with speeds of up to 400 kbits per second, based on a byte-oriented transfer format. it can be used with any atmel two-wire interface bus serial eeprom and i2c compatible device such as real time clock (rtc), dot matrix/graphic lcd controllers and temperature sensor, to name but a few. the twi is programmable as a master or a slave with sequential or single-byte access. mu ltiple master capability is supported. arbitra- tion of the bus is performed internally and puts the twi in slave mode automatically if the bus arbitration is lost. a configurable baud rate generator permits the output data rate to be adapted to a wide range of core clock frequencies. below, table 33-1 lists the compatibility level of the atmel two-wire interface in master mode and a full i 2 c compatible device. note: 1. start + b000000001 + ack + sr table 33-1. atmel twi compatibilit y with i2c standard i2c standard atmel twi standard mode speed (100 khz) supported fast mode speed (400 khz) supported 7 or 10 bits slave addressing supported start byte (1) not supported repeated start (sr) condition supported ack and nack management supported slope control and input filtering (fast mode) not supported clock stretching supported
400 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.2 list of abbreviations 33.3 block diagram figure 33-1. block diagram table 33-2. abbreviations abbreviation description twi two-wire interface a acknowledge na non acknowledge pstop sstart rs repeated start sadr slave address adr any address except sadr r read wwrite apb bridge pmc mck two-wire interface pio aic twi interrupt twck twd
401 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.4 application block diagram figure 33-2. application block diagram 33.4.1 i/o lines description 33.5 product dependencies 33.5.1 i/o lines both twd and twck are bidirectional lines, connect ed to a positive supply voltage via a current source or pull-up resistor (see figure 33-2 on page 401 ). when the bus is free, both lines are high. the output stages of devices connected to the bus must have an open-drain or open-col- lector to perform the wired-and function. twd and twck pins may be multiplexed with pi o lines. to enable the twi, the programmer must perform the following steps: ? program the pio controller to: ? dedicate twd and twck as peripheral lines. ? define twd and twck as open-drain. 33.5.2 power management ? enable the peripheral clock. the twi interface may be clocked through the power management controller (pmc), thus the programmer must first configure the pmc to enable the twi clock. 33.5.3 interrupt the twi interface has an interrupt line connected to the advanced interrupt controller (aic). in order to handle interrupts, the aic must be programmed before configuring the twi. table 33-3. i/o lines description pin name pin description type twd two-wire serial data input/output twck two-wire serial clock input/output host with twi interface twd twck atmel twi serial eeprom i 2 c rtc i 2 c lcd controller slave 1 slave 2 slave 3 rp rp vdd rp: pull up value as given by the i 2 c standard i 2 c temp. sensor slave 4
402 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.6 functional description 33.6.1 transfer format the data put on the twd line must be 8 bits long. data is transferred msb first; each byte must be followed by an acknowledgement. the number of bytes per transfer is unlimited (see figure 33-4 ). each transfer begins with a start condition and terminates with a stop condition (see figure 33-3 ). ? a high-to-low transition on the twd line while twck is high defines the start condition. ? a low-to-high transition on the twd line while twck is high defines a stop condition. figure 33-3. start and stop conditions figure 33-4. transfer format 33.6.2 modes of operation the twi has six modes of operations: ? master transmitter mode ? master receiver mode ? multi-master transmitter mode ? multi-master receiver mode ? slave transmitter mode ? slave receiver mode these modes are described in the following chapters. twd twck start stop twd twck start address r/w ack data ack data ack stop
403 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.7 master mode 33.7.1 definition the master is the device which starts a transfer, generates a clock and stops it. 33.7.2 application block diagram figure 33-5. master mode typical ap plication block diagram 33.7.3 programming master mode the following registers have to be programmed before entering master mode: 1. dadr (+ iadrsz + iadr if a 10 bit device is addressed): the device address is used to access slave devices in read or write mode. 2. ckdiv + chdiv + cldiv: clock waveform. 3. svdis: disable the slave mode. 4. msen: enable the master mode. 33.7.4 master transmitter mode after the master initiates a start condition when writing into the tran smit holding register, twi_thr, it sends a 7-bit slave address, configured in the master mode register (dadr in twi_mmr), to notify the slave device. the bit following the slave address indicates the transfer direction, 0 in this case (mread = 0 in twi_mmr). the twi transfers require the slave to acknowledge each received byte. during the acknowl- edge clock pulse (9th pulse), the master releases the data line (high), enabling the slave to pull it down in order to generate the acknowledge. t he master polls the data line during this clock pulse and sets the not acknowledge bit ( nack) in the status register if the slave does not acknowledge the byte. as with the other status bits, an interrupt can be generated if enabled in the interrupt enable register (twi_ier). if the slave acknowledges the byte, the data written in the twi_thr, is then shifted in the internal shifter and transferred. when an acknowledge is detected, the txrdy bit is set un til a new write in the twi_thr. when no more data is written into the twi_thr, the master generates a stop condition to end the transfer. the end of the complete transfer is marked by the twi_txcomp bit set to one. see figure 33-6 , figure 33-7 , and figure 33-8 . host with twi interface twd twck atmel twi serial eeprom i2c rtc i2c lcd controller slave 1 slave 2 slave 3 vdd i2c temp. sensor slave 4 rp: pull up value as given by the i2c standard rp rp
404 6289d?atarm?3-oct-11 at91sam9r64/rl64 txrdy is used as transmit read y for the pdc transmit channel. figure 33-6. master write with one data byte figure 33-7. master write with multiple data byte figure 33-8. master write with one byte internal address and multiple data bytes 33.7.5 master receiver mode the read sequence begins by setting the start bit. after the start condition has been sent, the master sends a 7-bit slave address to notify th e slave device. the bit following the slave address indicates the transfer direction, 1 in this ca se (mread = 1 in twi_mmr). during the acknowl- edge clock pulse (9th pulse), the master releases the data line (high), enabling the slave to pull it down in order to generate the acknowledge. t he master polls the data line during this clock pulse and sets the nack bit in the status register if the slave does not acknowledge the byte. if an acknowledge is received, the master is then ready to receive data from the slave. after data has been received, the master sends an acknowle dge condition to notify the slave that the data has been received except for the last data, after the stop condition. see figure 33-9 . when the txcomp txrdy write thr (data) stop sent automaticaly (ack received and txrdy = 1) twd a data a s dadr w p a data n a s dadr w data n+5 a p data n+x a txcomp txrdy write thr (data n) write thr (data n+1) write thr (data n+x) last data sent stop sent automaticaly (ack received and txrdy = 1) twd a iadr(7:0) a data n a s dadr w data n+5 a p data n+x a txcomp txrdy twd write thr (data n) write thr (data n+1) write thr (data n+x) last data sent stop sent automaticaly (ack received and txrdy = 1)
405 6289d?atarm?3-oct-11 at91sam9r64/rl64 rxrdy bit is set in the status register, a character has been received in the receive-holding reg- ister (twi_rhr). the rxrdy bit is reset when reading the twi_rhr. when a single data byte read is performed, with or without internal address (iadr ), the start and stop bits must be set at the same time. see figure 33-9 . when a multiple data byte read is performed, with or without internal address (iadr ), the stop bit must be set after the next-to- last data received. see figure 33-10 . for internal address usage see section 33.7.6 . figure 33-9. master read with one data byte figure 33-10. master read with mu ltiple data bytes rxrdy is used as receive ready for the pdc receive channel. 33.7.6 internal address the twi interface can perform various transfe r formats: transfers with 7-bit slave address devices and 10-bit slave address devices. 33.7.6.1 7-bit slave addressing when addressing 7-bit slave devices, the internal address bytes are used to perform random address (read or write) accesses to reach one or more data bytes, within a memory page loca- tion in a serial memory, for example. when performing read operations with an internal address, the twi performs a write operation to set the internal address into the slave device, and then switch to master receiver mode. note that the second start condition (after sending the iadr) is sometimes called ?repeated start? (sr) in i2c fully-compatible devices. see figure 33-12 . see figure 33-11 and figure 33-13 for master write operation with internal address. a s dadr r data n p txcomp write start & stop bit rxrdy read rhr twd n a s dadr r data n a a data (n+1) a data (n+m) data (n+m)-1 p twd txcomp write start bit rxrdy write stop bit after next-to-last data read read rhr data n read rhr data (n+1) read rhr data (n+m)-1 read rhr data (n+m)
406 6289d?atarm?3-oct-11 at91sam9r64/rl64 the three internal address bytes are configurable through the master mode register (twi_mmr). if the slave device supports only a 7-bit address, i.e. no internal address, iadrsz must be set to 0. in the figures below the following abbreviations are used: figure 33-11. master write with one, two or three bytes internal address and one data byte figure 33-12. master read with one, two or three bytes internal address and one data byte 33.7.6.2 10-bit slave addressing for a slave address higher than 7 bits, the user must configure the address size (iadrsz ) and set the other slave address bits in the internal address register (twi_iadr). the two remaining internal address bytes, iadr[15:8] and iadr[23:16] can be used the same as in 7-bit slave addressing. table 33-4. ?s start ?p stop ?w write ?r read ?a acknowledge ?n not acknowledge ?dadr device address ?iadr internal address s dadr w a iadr(23:16) a iadr(15:8) a iadr(7:0) a data a p s dadr w a iadr(15:8) a iadr(7:0) a p data a a iadr(7:0) a p data a s dadr w twd three bytes internal address two bytes internal address one byte internal address twd twd s dadr w a iadr(23:16) a iadr(15:8) a iadr(7:0) a s dadr w a iadr(15:8) a iadr(7:0) a a iadr(7:0) a s dadr w data n p s dadr r a s dadr r a data n p s dadr ra data np twd twd twd three bytes internal address two bytes internal address one byte internal address
407 6289d?atarm?3-oct-11 at91sam9r64/rl64 example: address a 10-bit device (10-bit device address is b1 b2 b3 b4 b5 b6 b7 b8 b9 b10) 1. program iadrsz = 1, 2. program dadr with 1 1 1 1 0 b1 b2 (b1 is the msb of the 10-bit address, b2, etc.) 3. program twi_iadr with b3 b4 b5 b6 b7 b8 b9 b10 (b10 is the lsb of the 10-bit address) figure 33-13 below shows a byte write to an atmel at24lc512 eeprom. this demonstrates the use of internal addresses to access the device. figure 33-13. internal address usage s t a r t m s b device address 0 l s b r / w a c k m s b w r i t e a c k a c k l s b a c k first word address second word address data s t o p
408 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.7.7 using the peripheral dma controller (pdc) the use of the pdc significantly reduces the cpu load. to assure correct implementation, respect the following programming sequences: 33.7.7.1 data transmit with the pdc 1. initialize the transmit pdc (me mory pointers, size, etc.). 2. configure the master mode (dadr, ckdiv, etc.). 3. start the transfer by setting the pdc txten bit. 4. wait for the pdc end tx flag. 5. disable the pdc by setting the pdc txdis bit. 33.7.7.2 data receive with the pdc 1. initialize the receive pdc (memory pointers, size - 1 , etc.). 2. configure the master mode (dadr, ckdiv, etc.). 3. start the transfer by setting the pdc rxten bit. 4. wait for the pdc end rx flag. 5. disable the pdc by setting the pdc rxdis bit.
409 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.7.8 read/write flowcharts the following flowcharts shown in figure 33-14 , figure 33-15 on page 410 , figure 33-16 on page 411 , figure 33-17 on page 412 , figure 33-18 on page 413 and figure on page 413 give examples for read and write operations. a polling or interrupt method can be used to check the status bits. the interrupt method requires that the interrupt enable register (twi_ier) be config- ured first. figure 33-14. twi write operation with single data byte without internal address set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once) set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address (dadr) - transfer direction bit write ==> bit mread = 0 load transmit register twi_thr = data to send read status register txrdy = 1? read status register txcomp = 1? transfer finished ye s ye s begin no no
410 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-15. twi write operation with single data byte and internal address begin set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once) set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address (dadr) - internal address size (iadrsz) - transfer direction bit write ==> bit mread = 0 load transmit register twi_thr = data to send read status register txrdy = 1? read status register txcomp = 1? transfer finished set the internal address twi_iadr = address ye s ye s no no
411 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-16. twi write operation with multiple data bytes with or without internal address set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address - internal address size (if iadr used) - transfer direction bit write ==> bit mread = 0 internal address size = 0? load transmit register twi_thr = data to send read status register txrdy = 1? data to send? read status register txcomp = 1? end begin set the internal address twi_iadr = address ye s twi_thr = data to send ye s ye s ye s no no no set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once)
412 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-17. twi read operation with single data byte without internal address set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address - transfer direction bit read ==> bit mread = 1 start the transfer twi_cr = start | stop read status register rxrdy = 1? read status register txcomp = 1? end begin ye s ye s set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once) read receive holding register no no
413 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-18. twi read operation with single data byte and internal address set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address - internal address size (iadrsz) - transfer direction bit read ==> bit mread = 1 read status register txcomp = 1? end begin ye s set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once) ye s set the internal address twi_iadr = address start the transfer twi_cr = start | stop read status register rxrdy = 1? read receive holding register no no
414 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-19. twi read operation with multiple data bytes with or without internal address internal address size = 0? start the transfer twi_cr = start stop the transfer twi_cr = stop read status register rxrdy = 1? last data to read but one? read status register txcomp = 1? end set the internal address twi_iadr = address ye s ye s ye s no ye s read receive holding register (twi_rhr) no set the control register: - master enable twi_cr = msen + svdis set the master mode register: - device slave address - internal address size (if iadr used) - transfer direction bit read ==> bit mread = 1 begin set twi clock (cldiv, chdiv, ckdiv) in twi_cwgr (needed only once) no read status register rxrdy = 1? ye s read receive holding register (twi_rhr) no
415 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.8 multi-master mode 33.8.1 definition more than one master may handle the bus at the same time without data corruption by using arbitration. arbitration starts as soon as two or more masters place information on the bus at the same time, and stops (arbitration is lost) for the master that intends to send a logical one while the other master sends a logical zero. as soon as arbitration is lost by a master, it st ops sending data and listens to the bus in order to detect a stop. when the stop is detected, the master who has lost arbitration may put its data on the bus by respecting arbitration. arbitration is illustrated in figure 33-21 on page 416 . 33.8.2 different multi-master modes two multi-master modes may be distinguished: 1. twi is considered as a master only and will never be addressed. 2. twi may be either a master or a slave and may be addressed. note: in both multi-master modes arbitration is supported. 33.8.2.1 twi as master only in this mode, twi is considered as a master only (msen is always at one) and must be driven like a master with the arblst (arbitration lost) flag in addition. if arbitration is lost (arblst = 1), the programmer must reinitiate the data transfer. if the user starts a transfer (ex.: dadr + start + w + write in thr) and if the bus is busy, the twi automatically waits for a stop conditi on on the bus to initiate the transfer (see figure 33- 20 on page 416 ). note: the state of the bus (busy or free) is not indicated in the user interface. 33.8.2.2 twi as master or slave the automatic reversal from master to slave is not supported in case of a lost arbitration. then, in the case where twi may be either a master or a slave, the programmer must manage the pseudo multi-master mode described in the steps below. 1. program twi in slave mode (sadr + ms dis + sven) and perform slave access (if twi is addressed). 2. if twi has to be set in master mode, wait until txcomp flag is at 1. 3. program master mode (dadr + svdis + msen ) and start the transfer (ex: start + write in thr). 4. as soon as the master mode is enabled, twi scans the bus in order to detect if it is busy or free. when the bus is considered as free, twi initiates the transfer. 5. as soon as the transfer is initiated and until a stop condition is sent, the arbitration becomes relevant and the user must monitor the arblst flag. 6. if the arbitration is lost (arblst is set to 1), the user must program the twi in slave mode in the case where the master that won the arbitration wanted to access the twi.
416 6289d?atarm?3-oct-11 at91sam9r64/rl64 7. if twi has to be set in slave mode, wait until txcomp flag is at 1 and then program the slave mode. note: in the case where the arbitration is lost and tw i is addressed, twi will not acknowledge even if it is programmed in slave mode as soon as arblst is set to 1. then, the master must repeat sadr. figure 33-20. programmer sends data while the bus is busy figure 33-21. arbitration cases the flowchart shown in figure 33-22 on page 417 gives an example of read and write operations in multi-master mode. twck twd data sent by a master stop sent by the master start sent by the twi data sent by the twi bus is busy bus is free a transfer is programmed (dadr + w + start + write thr) transfer is initiated twi data transfer transfer is kept bus is considered as free twck bus is busy bus is free a transfer is programmed (dadr + w + start + write thr) transfer is initiated twi data transfer transfer is kept bus is considered as free data from a master data from twi s 0 s 0 0 1 1 1 arblst s 0 s 0 0 1 1 1 twd s 0 0 1 1 1 1 1 arbitration is lost twi stops sending data p s 0 1 p 0 1 1 1 1 data from the master data from the twi arbitration is lost the master stops sending data transfer is stopped transfer is programmed again (dadr + w + start + write thr) twck twd
417 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-22. multi-master flowchart programm the slave mode: sadr + msdis + sven svacc = 1 ? txcomp = 1 ? gacc = 1 ? decoding of the programming sequence prog seq ok ? change sadr svread = 0 ? read status register rxrdy= 0 ? read twi_rhr txrdy= 1 ? eosacc = 1 ? write in twi_thr need to perform a master access ? program the master mode dadr + svdis + msen + clk + r / w read status register arblst = 1 ? mread = 1 ? txrdy= 0 ? write in twi_thr data to send ? rxrdy= 0 ? read twi_rhr data to read? stop transfer read status register txcomp = 0 ? general call treatment ye s ye s ye s ye s ye s ye s ye s ye s ye s ye s ye s ye s ye s ye s start
418 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9 slave mode 33.9.1 definition the slave mode is defined as a mode where the device receives the clock and the address from another device called the master. in this mode, the device never initiates and never completes the transmission (start, repeated_start and stop conditions are always provided by the master). 33.9.2 application block diagram figure 33-23. slave mode typical application block diagram 33.9.3 programming slave mode the following fields must be programmed before entering slave mode: 1. sadr (twi_smr): the slave device address is used in order to be accessed by mas- ter devices in read or write mode. 2. msdis (twi_cr): disable the master mode. 3. sven (twi_cr): enable the slave mode. as the device receives the clock, values written in twi_cwgr are not taken into account. 33.9.4 receiving data after a start or repeated start condition is detected and if the address sent by the master matches with the slave addre ss programmed in the sadr (slave address) field, svacc (slave access) flag is set and svread (slave read) indicates the direction of the transfer. svacc remains high until a stop condition or a repeated start is detected. when such a condition is detected, eosacc (end of slave access) flag is set. 33.9.4.1 read sequence in the case of a read sequence (svread is high), twi transfers data written in the twi_thr (twi transmit holding register) until a stop condition or a repeated _start + an address different from sadr is detected. note that at the end of the read sequence txcomp (transmis- sion complete) flag is set and svacc reset. as soon as data is written in the twi_t hr, txrdy (transmit holding register ready) flag is reset, and it is set when the shift register is empty and the sent data acknowledged or not. if the data is not acknowledged, the nack flag is set. host with twi interface twd twck lcd controller slave 1 slave 2 slave 3 rr vdd host with twi interface host with twi interface master
419 6289d?atarm?3-oct-11 at91sam9r64/rl64 note that a stop or a repeated start always follows a nack. see figure 33-24 on page 420 . 33.9.4.2 write sequence in the case of a write sequence (svread is low), the rxrdy (receive holding register ready) flag is set as soon as a character has been received in the twi_rhr (twi receive holding register). rxrdy is re set when reading the twi_rhr. twi continues receiving data until a stop co ndition or a repeated_start + an address dif- ferent from sadr is detected. note that at the end of the write sequence txcomp flag is set and svacc reset. see figure 33-25 on page 420 . 33.9.4.3 clock synchronization sequence in the case where twi_thr or twi_rhr is not written/read in time, twi performs a clock synchronization. clock stretching information is given by the sclws (clock wait state) bit. see figure 33-27 on page 422 and figure 33-28 on page 423 . 33.9.4.4 general call in the case where a general call is perfor med, gacc (general call access) flag is set. after gacc is set, it is up to the programmer to interpret the meaning of the general call and to decode the new address programming sequence. see figure 33-26 on page 421 . 33.9.4.5 pdc as it is impossible to know the exact number of data to receive/send, the use of pdc is not rec- ommended in slave mode. 33.9.5 data transfer 33.9.5.1 read operation the read mode is defined as a data requirement from the master. after a start or a repeated start condition is detected, the decoding of the address starts. if the slave address (sadr) is decoded, svacc is set and svread indicates the direc- tion of the transfer. until a stop or repeated start condition is detected, twi continues sending data loaded in the twi_thr register. if a stop condition or a repeated start + an address different from sadr is detected, svacc is reset. figure 33-24 on page 420 describes the write operation.
420 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 33-24. read access ordered by a master notes: 1. when svacc is low, the state of svread becomes irrelevant. 2. txrdy is reset when data has been transmitted from twi_thr to the shift register and set when this data has been acknowledged or non acknowledged. 33.9.5.2 write operation the write mode is defined as a data transmission from the master. after a start or a repeated start, the decodi ng of the address starts . if the slave address is decoded, svacc is set and svread indicates the direction of the transfer (svread is low in this case). until a stop or repeated start condition is detected, twi stores the received data in the twi_rhr register. if a stop condition or a repeated start + an address different from sadr is detected, svacc is reset. figure 33-25 on page 420 describes the write operation. figure 33-25. write access ordered by a master notes: 1. when svacc is low, the state of svread becomes irrelevant. 2. rxrdy is set when data has been transmitted from the shift register to the twi_rhr and reset when this data is read. write thr read rhr svread has to be taken into account only while svacc is active twd txrdy nack svacc svread eosvacc sadr s adr r na r a data a a data na s/rs data na p/s/rs sadr matches, twi answers with an ack sadr does not match, twi answers with a nack ack/nack from the master rxrdy read rhr svread has to be taken into account only while svacc is active twd svacc svread eosvacc sadr does not match, twi answers with a nack sadr s adr w na w a data a a data na s/rs data na p/s/rs sadr matches, twi answers with an ack
421 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9.5.3 general call the general call is performed in order to change the address of the slave. if a general call is detected, gacc is set. after the detection of general call, it is up to the programmer to decode the commands which come afterwards. in case of a write command, the programmer has to decode the programming sequence and program a new sadr if the programming sequence matches. figure 33-26 on page 421 describes the general call access. figure 33-26. master performs a general call note: this method allows the user to create an own programming sequence by choosing the program- ming bytes and the number of them. the programming sequence has to be provided to the master. 0000000 + w general call p s a general call reset or write dadd a new sadr data 1 a data 2 a a new sadr programming sequence txd gcacc svacc reset command = 00000110x write command = 00000100x reset after read
422 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9.5.4 clock synchronization in both read and write modes, it may happen that twi_thr/tw i_rhr buffer is not filled /emp- tied before the emission/reception of a new charac ter. in this case, to avoid sending/receiving undesired data, a clock stretching mechanism is implemented. 33.9.5.5 clock synchron ization in read mode the clock is tied low if the shif t register is empty and if a stop or repeated start condition was not detected. it is tied low until the shift register is loaded. figure 33-27 on page 422 describes the clock synchronization in read mode. figure 33-27. clock synchronization in read mode notes: 1. txrdy is reset when data has been written in the twi_ th to the shift register and set when this data has been acknowl- edged or non acknowledged. 2. at the end of the read sequence, txcomp is set after a stop or after a repeated_start + an address different from sadr. 3. sclws is automatically set when the cl ock synchronization mechanism is started. data 1 the clock is stretched after the ack, the state of twd is undefined during clock stretching sclws svacc svread txrdy twck twi_thr txcomp the data is memorized in twi_thr until a new value is written twi_thr is transmitted to the shift register ack or nack from the master data 0 data 0 data 2 1 2 1 clock is tied low by the twi as long as thr is empty s sadr s r data 0 a a data 1 a data 2 na s xxxxxxx 2 write thr as soon as a start is detected
423 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9.5.6 clock synchronization in write mode the clock is tied low if the shift regi ster and the twi_rhr is full. if a stop or repeated_start condition was not detected , it is tied low until twi_rhr is read. figure 33-28 on page 423 describes the clock synchronization in read mode. figure 33-28. clock synchronization in write mode notes: 1. at the end of the read sequence, txcomp is set after a stop or after a repeated_start + an address different from sadr. 2. sclws is automatically set when the cl ock synchronization mechanism is started and automatically reset when the mecha- nism is finished. rd data0 rd data1 rd data2 svacc svread rxrdy sclws txcomp data 1 data 2 scl is stretched on the last bit of data1 as soon as a start is detected twck twd twi_rhr clock is tied low by the twi as long as rhr is full data0 is not read in the rhr adr s sadr w a data 0 a a data 2 data 1 s na
424 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9.5.7 reversal after a repeated start 33.9.5.8 reversal of read to write the master initiates the communication by a read command and finishes it by a write command. figure 33-29 on page 424 describes the repeated start + reversal from read to write mode. figure 33-29. repeated start + reversal from read to write mode 1. txcomp is only set at the end of the transmission because after the repeated start, sadr is detected again. 33.9.5.9 reversal of write to read the master initiates the communication by a write command and finishes it by a read com- mand. figure 33-30 on page 424 describes the repeated start + reversal from write to read mode. figure 33-30. repeated start + reversal from write to read mode notes: 1. in this case, if twi_thr has not bee n written at the end of the read command, the clock is automatically stretched befo re the ack. 2. txcomp is only set at the end of the transmission because after the repeated st art, sadr is detected again. s sadr r a data0 a data1 sadr rs na w a data2 a data3 a p cleared after read data0 data1 data2 data3 svacc svread twd twi_thr twi_rhr eosacc txrdy rxrdy txcomp as soon as a start is detected s sadr w a data0 a data1 sadr rs a r a data2 a data3 na p cleared after read data0 data2 data3 data1 txcomp txrdy rxrdy as soon as a start is detected read twi_rhr svacc svread twd twi_rhr twi_thr eosacc
425 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.9.6 read write flowcharts the flowchart shown in figure 33-31 on page 425 gives an example of read and write operations in slave mode. a polling or interr upt method can be used to check the status bits. the interrupt method requires that the interrupt enable register (twi_ier) be configured first. figure 33-31. read write flowchart in slave mode set the slave mode: sadr + msdis + sven svacc = 1 ? txcomp = 1 ? gacc = 1 ? decoding of the programming sequence prog seq ok ? change sadr svread = 0 ? read status register rxrdy= 0 ? read twi_rhr txrdy= 1 ? eosacc = 1 ? write in twi_thr end general call treatment
426 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10 two-wire interface (twi) user interface table 33-5. two-wire interface (twi) user interface offset register name access reset state 0x00 control register twi_cr write-only n / a 0x04 master mode register twi_mmr read/write 0x00000000 0x08 slave mode register twi_smr read/write 0x00000000 0x0c internal address register twi_iadr read/write 0x00000000 0x10 clock waveform generator register twi_cwgr read/write 0x00000000 0x20 status register twi_sr read-only 0x0000f009 0x24 interrupt enable register twi_ier write-only n / a 0x28 interrupt disable register twi_idr write-only n / a 0x2c interrupt mask register twi_imr read-only 0x00000000 0x30 receive holding register twi_rhr read-only 0x00000000 0x34 transmit holding register twi_thr write-only 0x00000000 0x38 - 0xfc reserved ? ? ? 0x100 - 0x124 reserved for the pdc ? ? ?
427 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.1 twi control register name: twi_cr access: write-only reset value: 0x00000000 ? start: send a start condition 0 = no effect. 1 = a frame beginning with a start bit is transmitted according to the features defined in the mode register. this action is necessary when the twi peripheral wants to read data from a slave. when configured in master mode with a write operation, a frame is sent as soon as the user writes a character in the transmit holding register (twi_thr). ? stop: send a stop condition 0 = no effect. 1 = stop condition is sent just after completing the current byte transmission in master read mode. ? in single data byte master read, the start and stop must both be set. ? in multiple data bytes master read, the stop must be set after the last data received but one. ? in master read mode, if a nack bit is received, the stop is automatically performed. ? in multiple data write operation, when both thr and shift register are empty, a stop condition is automatically sent. ? msen: twi master mode enabled 0 = no effect. 1 = if msdis = 0, the master mode is enabled. note: switching from slave to master mo de is only permitted when txcomp = 1. ? msdis: twi master mode disabled 0 = no effect. 1 = the master mode is disabled, all pending data is transmitted. the shifter and holding characters (if it contains data) are transmitted in case of write operation. in read operation, the character being transferred must be completely received before disabling. ? sven: twi slave mode enabled 0 = no effect. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 swrst ? svdis sven msdis msen stop start
428 6289d?atarm?3-oct-11 at91sam9r64/rl64 1 = if svdis = 0, the slave mode is enabled. note: switching from master to slave mode is only permitted when txcomp = 1. ? svdis: twi slave mode disabled 0 = no effect. 1 = the slave mode is disabled. the shifter and holding characte rs (if it contains data) are transmitted in case of read oper- ation. in write operation, the character being transferred must be completely received before disabling. ? swrst: software reset 0 = no effect. 1 = equivalent to a system reset.
429 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.2 twi master mode register name: twi_mmr access: read/write reset value: 0x00000000 ? iadrsz: internal device address size ? mread: master read direction 0 = master write direction. 1 = master read direction. ? dadr: device address the device address is used to access slave devices in read or write mode. those bits are only used in master mode. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?dadr 15 14 13 12 11 10 9 8 ???mread?? iadrsz 76543210 ???????? iadrsz[9:8] 0 0 no internal device address 0 1 one-byte internal device address 1 0 two-byte internal device address 1 1 three-byte internal device address
430 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.3 twi slave mode register name: twi_smr access: read/write reset value: 0x00000000 ? sadr: slave address the slave device address is used in slav e mode in order to be accessed by master devices in read or write mode. sadr must be programmed before enabling the slave mode or after a general call. writes at other times have no effect. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?sadr 15 14 13 12 11 10 9 8 ?????? 76543210 ????????
431 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.4 twi internal address register name: twi_iadr access: read/write reset value: 0x00000000 ? iadr: internal address 0, 1, 2 or 3 bytes depending on iadrsz. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 iadr 15 14 13 12 11 10 9 8 iadr 76543210 iadr
432 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.5 twi clock waveform generator register name: twi_cwgr access: read/write reset value: 0x00000000 twi_cwgr is only used in master mode. ? cldiv: clock low divider the scl low period is defined as follows: ? chdiv: clock high divider the scl high period is defined as follows: ? ckdiv: clock divider the ckdiv is used to increase both scl high and low periods. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ckdiv 15 14 13 12 11 10 9 8 chdiv 76543210 cldiv t low cldiv ( 2 ckdiv () 4 ) + t mck = t high chdiv ( 2 ckdiv () 4 ) + t mck =
433 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.6 twi status register name: twi_sr access: read-only reset value: 0x0000f009 ? txcomp: transmission completed (automatically set / reset) txcomp used in master mode : 0 = during the length of the current frame. 1 = when both holding and shifter registers are empty and stop condition has been sent. txcomp behavior in master mode can be seen in figure 33-8 on page 404 and in figure 33-10 on page 405 . txcomp used in slave mode : 0 = as soon as a start is detected. 1 = after a stop or a repeated start + an address different from sadr is detected. txcomp behavior in slave mode can be seen in figure 33-27 on page 422 , figure 33-28 on page 423 , figure 33-29 on page 424 and figure 33-30 on page 424 . ? rxrdy: receive holding register ready (automatically set / reset) 0 = no character has been received since the last twi_rhr read operation. 1 = a byte has been received in the twi_rhr since the last read. rxrdy behavior in master mode can be seen in figure 33-10 on page 405 . rxrdy behavior in slave mode can be seen in figure 33-25 on page 420 , figure 33-28 on page 423 , figure 33-29 on page 424 and figure 33-30 on page 424 . ? txrdy: transmit holding register ready (automatically set / reset) txrdy used in master mode : 0 = the transmit holding register has not been transferred into shift register. set to 0 when writing into twi_thr register. 1 = as soon as a data byte is transferred from twi_thr to inte rnal shifter or if a nack erro r is detected, txrdy is set at the same time as txcomp and nack. txrdy is also set when msen is set (enable twi). txrdy behavior in master mode can be seen in figure 33-8 on page 404 . 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txbufe rxbuff endtx endrx eosacc sclws arblst nack 76543210 ? ovre gacc svacc svread txrdy rxrdy txcomp
434 6289d?atarm?3-oct-11 at91sam9r64/rl64 txrdy used in slave mode : 0 = as soon as data is written in the twi_thr, until this data has been transmitted and acknowledged (ack or nack). 1 = it indicates that the twi_thr is empty and that data has been transmitted and acknowledged. if txrdy is high and if a nack has been detected, the tr ansmission will be stopped. thus when trdy = nack = 1, the programmer must not fill tw i_thr to avoid losing it. txrdy behavior in slave mode can be seen in figure 33-24 on page 420 , figure 33-27 on page 422 , figure 33-29 on page 424 and figure 33-30 on page 424 . ? svread: slave read (automatically set / reset) this bit is only used in slave mode. when svacc is low (no slave access has been detected) svread is irrelevant. 0 = indicates that a write access is performed by a master. 1 = indicates that a read access is performed by a master. svread behavior can be seen in figure 33-24 on page 420 , figure 33-25 on page 420 , figure 33-29 on page 424 and figure 33-30 on page 424 . ? svacc: slave access (automatically set / reset) this bit is only used in slave mode. 0 = twi is not addressed. svacc is automatically cleared af ter a nack or a stop condition is detected. 1 = indicates that the address decoding sequence has matched (a master has sent sadr). svacc remains high until a nack or a stop condition is detected. svacc behavior can be seen in figure 33-24 on page 420 , figure 33-25 on page 420 , figure 33-29 on page 424 and fig- ure 33-30 on page 424 . ? gacc: general call access (clear on read) this bit is only used in slave mode. 0 = no general call has been detected. 1 = a general call has been detected. after the detection of general call, the programmer decoded the commands that fol- low and the programming sequence. gacc behavior can be seen in figure 33-26 on page 421 . ? ovre: overrun error (clear on read) this bit is only used in master mode. 0 = twi_rhr has not been loaded while rxrdy was set 1 = twi_rhr has been loaded while rxrdy was set. reset by read in twi_sr when txcomp is set. ? nack: not acknowledged (clear on read) nack used in master mode : 0 = each data byte has been correctly received by the far-end side twi slave component. 1 = a data byte has not been acknowledged by the sl ave component. set at the same time as txcomp.
435 6289d?atarm?3-oct-11 at91sam9r64/rl64 nack used in slave read mode : 0 = each data byte has been correctly received by the master. 1 = in read mode, a data byte has not been acknowledged by the master. when nack is set the programmer must not fill twi_thr even if txrdy is set, because it means that the master will stop the data transfer or re initiate it. note that in slave write mode all data are acknowledged by the twi. ? arblst: arbitration lost (clear on read) this bit is only used in master mode. 0: arbitration won. 1: arbitration lost. another master of the twi bus has won the multi-master arbitration. txcomp is set at the same time. ? sclws: clock wait state (automatically set / reset) this bit is only used in slave mode. 0 = the clock is not stretched. 1 = the clock is stretched. twi_thr / tw i_rhr buffer is not filled / emptied bef ore the emission / reception of a new character. sclws behavior can be seen in figure 33-27 on page 422 and figure 33-28 on page 423 . ? eosacc: end of slave access (clear on read) this bit is only used in slave mode. 0 = a slave access is being performing. 1 = the slave access is finished. end of slave access is automatically set as soon as svacc is reset. eosacc behavior can be seen in figure 33-29 on page 424 and figure 33-30 on page 424 ? endrx: end of rx buffer this bit is only used in master mode. 0 = the receive counter register has not reached 0 since the last write in twi_rcr or twi_rncr. 1 = the receive counter register has reached 0 since the last write in twi_rcr or twi_rncr. ? endtx: end of tx buffer this bit is only used in master mode. 0 = the transmit counter register has not reached 0 since the last write in twi_tcr or twi_tncr. 1 = the transmit counter register has reached 0 since the last write in twi_tcr or twi_tncr. ? rxbuff: rx buffer full this bit is only used in master mode. 0 = twi_rcr or twi_rncr have a value other than 0. 1 = both twi_rcr and twi_rncr have a value of 0.
436 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? txbufe: tx buffer empty this bit is only used in master mode. 0 = twi_tcr or twi_tncr have a value other than 0. 1 = both twi_tcr and twi_tncr have a value of 0.
437 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.7 twi interrupt enable register name: twi_ier access: write-only reset value: 0x00000000 ? txcomp: transmission completed interrupt enable ? rxrdy: receive holding register ready interrupt enable ? txrdy: transmit holding register ready interrupt enable ? svacc: slave access interrupt enable ? gacc: general call access interrupt enable ? ovre: overrun error interrupt enable ? nack: not acknowledge interrupt enable ? abrlst: arbitration lost interrupt enable ? scl_ws: clock wait state interrupt enable ? endrx: end of receive buffer interrupt enable ? endtx: end of transmit buffer interrupt enable ? rxbuff: receive buffer full interrupt enable ? txbufe: transmit buffer empty interrupt enable 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txbufe rxbuff endtx endrx eosacc scl_ws arblst nack 76543210 ? ovre gacc svacc ? txrdy rxrdy txcomp
438 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.8 twi interrupt disable register name: twi_idr access: write-only reset value: 0x00000000 ? txcomp: transmission completed interrupt disable ? rxrdy: receive holding regi ster ready interrupt disable ? txrdy: transmit holding register ready interrupt disable ? svacc: slave access interrupt disable ? gacc: general call access interrupt disable ? ovre: overrun error interrupt disable ? nack: not acknowledge interrupt disable ? abrlst: arbitration lost interrupt disable ? scl_ws: clock wait state interrupt disable ? eosacc: end of slave access interrupt disable ? endrx: end of receive buffer interrupt disable ? endtx: end of transmit buffer interrupt disable ? rxbuff: receive buffer full interrupt disable ? txbufe: transmit buffer empty interrupt disable 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txbufe rxbuff endtx endrx eosacc scl_ws arblst nack 76543210 ? ovre gacc svacc ? txrdy rxrdy txcomp
439 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.9 twi interrupt mask register name: twi_imr access: read-only reset value: 0x00000000 ? txcomp: transmission completed interrupt mask ? rxrdy: receive holding regi ster ready interrupt mask ? txrdy: transmit holding register ready interrupt mask ? svacc: slave access interrupt mask ? gacc: general call access interrupt mask ? ovre: overrun error interrupt mask ? nack: not acknowledge interrupt mask ? abrlst: arbitration lost interrupt mask ? scl_ws: clock wait state interrupt mask ? eosacc: end of slave access interrupt mask ? endrx: end of receive buffer interrupt mask ? endtx: end of transmit buffer interrupt mask ? rxbuff: receive buffer full interrupt mask ? txbufe: transmit buffer empty interrupt mask 0 = the corresponding interrupt is disabled. 1 = the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txbufe rxbuff endtx endrx eosacc scl_ws arblst nack 76543210 ? ovre gacc svacc ? txrdy rxrdy txcomp
440 6289d?atarm?3-oct-11 at91sam9r64/rl64 33.10.10 twi receive holding register name: twi_rhr access: read-only reset value: 0x00000000 ? rxdata: master or slave receive holding data 33.10.11 twi transmit holding register name: twi_thr access: read/write reset value: 0x00000000 ? txdata: master or slave transmit holding data 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 rxdata 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 txdata
441 6289d?atarm?3-oct-11 at91sam9r64/rl64 34. universal synchronous/asynchro nous receiver/transceiver (usart) 34.1 description the universal synchronous asynchronous rece iver transceiver (usart) provides one full duplex universal synchronous asynchronous serial link. data frame format is widely programma- ble (data length, parity, number of stop bits) to support a maximum of standards. the receiver implements parity error, framing error and overrun error detection. the receiver time-out enables handling variable-length frames and the transmitt er timeguard facilitates communications with slow remote devices. multidrop communications are also supported through address bit han- dling in reception and transmission. the usart features three test modes: remote loopback, local loopback and automatic echo. the usart supports specific operating modes providing interfaces on rs485 buses, with iso7816 t = 0 or t = 1 smart card slots, infrared transceivers and connection to modem ports. the hardware handshaking feature enables an out-of-band flow control by automatic manage- ment of the pins rts and cts. the usart supports the connection to the peripheral dma controller, which enables data transfers to the transmitter and from the receiver. the pdc provides chained buffer manage- ment without any intervention of the processor.
442 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.2 block diagram figure 34-1. usart block diagram peripheral dma controller channel channel aic receiver usart interrupt rxd txd sck usart pio controller cts rts dtr dsr dcd ri transmitter modem signals control baud rate generator user interface pmc mck slck div mck/div apb
443 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.3 application block diagram figure 34-2. application block diagram 34.4 i/o lines description table 34-1. i/o line description name description type active level sck serial clock i/o txd transmit serial data i/o rxd receive serial data input ri ring indicator input low dsr data set ready input low dcd data carrier detect input low dtr data terminal ready output low cts clear to send input low rts request to send output low smart card slot usart rs232 drivers modem rs485 drivers differential bus irda transceivers modem driver field bus driver emv driver irda driver irlap rs232 drivers serial port serial driver ppp pstn
444 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.5 product dependencies 34.5.1 i/o lines the pins used for interfacing the usart may be multiplexed with the pio lines. the program- mer must first program the pio controller to assign the desired usart pins to their peripheral function. if i/o lines of the usart are not used by the application, they can be used for other purposes by the pio controller. to prevent the txd line from falling when the usart is di sabled, the use of an internal pull up is mandatory. if the hardware handshaking feature or modem mode is used, the internal pull up on txd must also be enabled. all the pins of the modems may or may not be implemented on the usart. only usart0 is fully equipped with all the modem signals. on usarts not equipped with the corresponding pin, the associated control bits and statuses have no effect on the behavior of the usart. 34.5.2 power management the usart is not continuously clocked. the pr ogrammer must first enable the usart clock in the power management controller (pmc) before usin g the usart. however, if the application does not require usart operations, the usart clock can be stopped when not needed and be restarted later. in this case, the usart will resume its operations where it left off. configuring the usart does not require the usart clock to be enabled. 34.5.3 interrupt the usart interrupt line is connected on one of the internal sources of the advanced interrupt controller. using the usart interrup t requires the aic to be programmed first. note that it is not recommended to use the usart interrupt line in edge sensitive mode.
445 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6 functional description the usart is capable of managing several ty pes of serial synchronous or asynchronous communications. it supports the following communication modes: ? 5- to 9-bit full-duplex asynchronous serial communication ? msb- or lsb-first ? 1, 1.5 or 2 stop bits ? parity even, odd, marked, space or none ? by 8 or by 16 over-sampling receiver frequency ? optional hardware handshaking ? optional modem signals management ? optional break management ? optional multidrop serial communication ? high-speed 5- to 9-bit full-duplex synchronous serial communication ? msb- or lsb-first ? 1 or 2 stop bits ? parity even, odd, marked, space or none ? by 8 or by 16 over-sampling frequency ? optional hardware handshaking ? optional modem signals management ? optional break management ? optional multidrop serial communication ? rs485 with driver control signal ? iso7816, t0 or t1 protocols for interfacing with smart cards ? nack handling, error counter with repetition and iteration limit ? infrared irda modulation and demodulation ? test modes ? remote loopback, local loopback, automatic echo 34.6.1 baud rate generator the baud rate generator provides the bit period clock named the baud rate clock to both the receiver and the transmitter. the baud rate generator clock source can be selected by setting the usclks field in the mode register (us_mr) between: ? the master clock mck ? a division of the master clock, the divider being product dependent, but generally set to 8 ? the external clock, available on the sck pin the baud rate generator is based upon a 16-bit divider, which is programmed with the cd field of the baud rate generator register (us_brgr). if cd is programmed at 0, the baud rate generator does not generate any clock. if cd is programmed at 1, the divider is bypassed and becomes inactive.
446 6289d?atarm?3-oct-11 at91sam9r64/rl64 if the external sck clock is selected, the duration of the low and high levels of the signal pro- vided on the sck pin must be longer than a master clock (mck) period. the frequency of the signal provided on sck must be at least 4.5 times lower than mck. figure 34-3. baud rate generator 34.6.1.1 baud rate in asynchronous mode if the usart is programmed to operate in as ynchronous mode, the selected clock is first divided by cd, which is field programmed in the baud rate generator register (us_brgr). the resulting clock is provided to the receiv er as a sampling clock and then divided by 16 or 8, depending on the programming of the over bit in us_mr. if over is set to 1, the receiver sampling is 8 times higher than the baud rate clock. if over is cleared, the sampling is performed at 16 times the baud rate clock. the following formula performs the calculation of the baud rate. this gives a maximum baud rate of mck divided by 8, assuming that mck is the highest possi- ble clock and that over is programmed at 1. baud rate calculation example table 34-2 shows calculations of cd to obtain a baud rate at 38400 bauds for different source clock frequencies. this table also shows the actual resulting baud rate and the error. mck/div 16-bit counter 0 baud rate clock cd cd sampling divider 0 1 >1 sampling clock reserved mck sck usclks over sck sync sync usclks = 3 1 0 2 3 0 1 0 1 fidi baudrate selectedclock 82 over ? () cd () -------------------------------------------- = table 34-2. baud rate example (over = 0) source clock expected baud rate calculation result cd actual baud rate error mhz bit/s bit/s 3 686 400 38 400 6.00 6 38 400.00 0.00% 4 915 200 38 400 8.00 8 38 400.00 0.00% 5 000 000 38 400 8.14 8 39 062.50 1.70% 7 372 800 38 400 12.00 12 38 400.00 0.00%
447 6289d?atarm?3-oct-11 at91sam9r64/rl64 the baud rate is calculated with the following formula: the baud rate error is calculated with the following formula. it is not recommended to work with an error higher than 5%. 34.6.1.2 fractional baud rate in asynchronous mode the baud rate generator previously defined is su bject to the following limitation: the output fre- quency changes by only integer multiples of the reference frequency. an approach to this problem is to integrate a fractional n clock generator that has a high resolution. the generator architecture is modified to obtain baud rate c hanges by a fraction of the reference source clock. this fractional part is programmed with the fp field in the baud rate generator register (us_brgr). if fp is not 0, the fractional part is activated. the resolution is one eighth of the clock divider. this feature is only available when using usart normal mode. the fractional baud rate is calculated using the following formula: the modified architecture is presented below: 8 000 000 38 400 13.02 13 38 461.54 0.16% 12 000 000 38 400 19.53 20 37 500.00 2.40% 12 288 000 38 400 20.00 20 38 400.00 0.00% 14 318 180 38 400 23.30 23 38 908.10 1.31% 14 745 600 38 400 24.00 24 38 400.00 0.00% 18 432 000 38 400 30.00 30 38 400.00 0.00% 24 000 000 38 400 39.06 39 38 461.54 0.16% 24 576 000 38 400 40.00 40 38 400.00 0.00% 25 000 000 38 400 40.69 40 38 109.76 0.76% 32 000 000 38 400 52.08 52 38 461.54 0.16% 32 768 000 38 400 53.33 53 38 641.51 0.63% 33 000 000 38 400 53.71 54 38 194.44 0.54% 40 000 000 38 400 65.10 65 38 461.54 0.16% 50 000 000 38 400 81.38 81 38 580.25 0.47% table 34-2. baud rate example (over = 0) (continued) source clock expected baud rate calculation result cd actual baud rate error baudrate mck cd 16 ? = error 1 expectedbaudrate actualbaudrate -------------------------------------------------- - ?? ?? ? = baudrate selectedclock 82 over ? () cd fp 8 ------- + ?? ?? ?? ?? ---------------------------------------------------------------- - =
448 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-4. fractional baud rate generator 34.6.1.3 baud rate in synchronous mode if the usart is programmed to operate in synchronous mode, the selected clock is simply divided by the field cd in us_brgr. in synchronous mode, if the external clock is selected (usclks = 3), the clock is provided directly by the signal on the usart sck pin. no division is active. the value written in us_brgr has no effect. the external clock frequency must be at least 4.5 times lower than the system clock. when either the external clock sck or the inte rnal clock divided (mck/div) is selected, the value programmed in cd must be even if the user has to ensure a 50:50 mark/space ratio on the sck pin. if the internal clock mck is selected, the baud rate generator ensures a 50:50 duty cycle on the sck pin, even if the value programmed in cd is odd. 34.6.1.4 baud rate in iso 7816 mode the iso7816 specification defines the bit rate with the following formula: where: ? b is the bit rate ? di is the bit-rate adjustment factor ? fi is the clock frequency division factor ? f is the iso7816 clock frequency (hz) mck/div 16-bit counter 0 baud rate clock cd cd sampling divider 0 1 >1 sampling clock reserved mck sck usclks over sck sync sync usclks = 3 1 0 2 3 0 1 0 1 fidi glitch-free logic modulus control fp fp baudrate selectedclock cd ------------------------------------- - = b di fi ----- - f =
449 6289d?atarm?3-oct-11 at91sam9r64/rl64 di is a binary value encoded on a 4-bit field, named di, as represented in table 34-3 . fi is a binary value encoded on a 4-bi t field, named fi, as represented in table 34-4 . table 34-5 shows the resulting fi/di ratio, which is the ratio between the iso7816 clock and the baud rate clock. if the usart is configured in iso7816 mode, th e clock selected by the usclks field in the mode register (us_mr) is first divided by the value programmed in the field cd in the baud rate generator register (us_brgr). the resulting clock can be provided to the sck pin to feed the smart card clock inputs. this means that the clko bit can be set in us_mr. this clock is then divided by the value progra mmed in the fi_di_ratio field in the fi_di_ratio register (us_fidi). this is performed by the sampling divider, which performs a division by up to 2047 in iso7816 mode. the non-integer values of the fi/di ratio are not supported and the user must program the fi_di_ratio field to a va lue as close as possible to the expected value. the fi_di_ratio field resets to the value 0x174 (372 in decimal) and is the most common divider between the iso7816 clock and the bit rate (fi = 372, di = 1). figure 34-5 shows the relation between the elementary time unit, corresponding to a bit time, and the iso 7816 clock. table 34-3. binary and decimal values for di di field 0001 0010 0011 0100 0101 0110 1000 1001 di (decimal)1 2 4 8 163212 20 table 34-4. binary and decimal values for fi fi field 0000 0001 0010 0011 0100 0101 0110 1001 1010 1011 1100 1101 fi (decimal 372 372 558 744 1116 1488 1860 512 768 1024 1536 2048 table 34-5. possible values for the fi/di ratio fi/di 372 558 774 1116 1488 1806 512 768 1024 1536 2048 1 372 558 744 1116 1488 1860 512 768 1024 1536 2048 2 186 279 372 558 744 930 256 384 512 768 1024 4 93 139.5 186 279 372 465 128 192 256 384 512 8 46.5 69.75 93 139.5 186 232.5 64 96 128 192 256 16 23.25 34.87 46.5 69.75 93 116.2 32 48 64 96 128 32 11.62 17.43 23.25 34.87 46.5 58.13 16 24 32 48 64 12 31 46.5 62 93 124 155 42.66 64 85.33 128 170.6 20 18.6 27.9 37.2 55.8 74.4 93 25.6 38.4 51.2 76.8 102.4
450 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-5. elementary time unit (etu) 34.6.2 receiver and transmitter control after reset, the receiver is disabled. the user must enable the receiver by setting the rxen bit in the control register (us_cr). however, the receiver registers can be programmed before the receiver clock is enabled. after reset, the transmitter is disabled. the user must enable it by setting the txen bit in the control register (us_cr). however, the transmitter registers can be programmed before being enabled. the receiver and the transmitter can be enabled together or independently. at any time, the software can perform a reset on the receiver or the transmitter of the usart by setting the corresponding bit, rstrx and rsttx respectively, in the control register (us_cr). the software resets clear the status flag and reset internal state machines but the user interface configuration registers hold the value configured prior to software reset. regard- less of what the receiver or the transmitter is performing, the communi cation is immediately stopped. the user can also independently disable the receiv er or the transmitter by setting rxdis and txdis respectively in us_cr. if the receiver is disabled during a character reception, the usart waits until the end of reception of the current character, then the reception is stopped. if the transmitter is disabled while it is operating, the usart waits the end of transmission of both the current character and character being stored in the transmit holding register (us_thr). if a timeguard is programmed, it is handled normally. 34.6.3 synchronous and asynchronous modes 34.6.3.1 transmitter operations the transmitter performs the same in both synchronous and asynchronous operating modes (sync = 0 or sync = 1). one start bit, up to 9 da ta bits, one optional parity bit and up to two stop bits are successively shifted out on the txd pin at each falling edge of the programmed serial clock. the number of data bits is selected by the chrl field and the mode 9 bit in the mode register (us_mr). nine bits are selected by setting the mode 9 bit regardless of the chrl field. the parity bit is set according to the par field in us_mr. the even, odd, space, marked or none parity bit can be configured. the msbf field in us _mr configures which data bit is sent first. if written at 1, the most significant bit is sent first. at 0, the less significant bit is sent first. the num- ber of stop bits is selected by the nbstop fiel d in us_mr. the 1.5 stop bit is supported in asynchronous mode only. 1 etu iso7816 clock on sck iso7816 i/o line on txd fi_di_ratio iso7816 clock cycles
451 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-6. character transmit the characters are sent by writing in the tran smit holding register (us_thr). the transmitter reports two status bits in the channel status register (us_csr): txrdy (transmitter ready), which indicates that us_thr is empty and txempty, which indicates that all the characters written in us_thr have been processed. when the current character processing is completed, the last character written in us_thr is transferred into the shift register of the transmitter and us_thr becomes empty, thus txrdy raises. both txrdy and txempty bits are low since the transmitter is disabled. writing a character in us_thr while txrdy is active has no effect and the written character is lost. figure 34-7. transmitter status 34.6.3.2 manchester encoder when the manchester encoder is in use, c haracters transmitted through the usart are encoded based on biphase manchester ii format. to enable this mode, set the man field in the us_mr register to 1. depending on polarity configur ation, a logic level (zero or one), is transmit- ted as a coded signal one-to-zero or zero-to-one. thus, a transition always occurs at the midpoint of each bit time. it consumes more bandwidth than the original nrz signal (2x) but the receiver has more error control since the expected input must show a change at the center of a bit cell. an example of manchester encoded sequence is: the byte 0xb1 or 10110001 encodes to 10 01 10 10 01 01 01 10, assuming the default polarity of the encoder. figure 34-8 illustrates this coding scheme. d0 d1 d2 d3 d4 d5 d6 d7 txd start bit parity bit stop bit example: 8-bit, parity enabled one stop baud rate clock d0 d1 d2 d3 d4 d5 d6 d7 txd start bit parity bit stop bit baud rate clock start bit write us_thr d0 d1 d2 d3 d4 d5 d6 d7 parity bit stop bit txrdy txempty
452 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-8. nrz to manchester encoding the manchester encoded character can also be enc apsulated by adding both a configurable preamble and a start frame delimiter pattern. depending on the configuration, the preamble is a training sequence, composed of a pre-defined pattern with a programmable length from 1 to 15 bit times. if the preamble length is set to 0, the preamble waveform is not generated prior to any character. the preamble pattern is chosen among the following sequences: all_one, all_zero, one_zero or zero_one, writing th e field tx_pp in the us_man register, the field tx_pl is used to configure the preamble length. figure 34-9 illustrates and defines the valid patterns. to improve flexibility, the encoding scheme can be configured using the tx_mpol field in the us_man register. if the tx _mpol field is set to zero (default), a logic zero is encoded with a zero-to-one transition and a logic one is encoded with a one-to-zero tran- sition. if the tx_mpol field is set to one, a logic one is encoded with a one-to-zero transition and a logic zero is encoded with a zero-to-one transition. figure 34-9. preamble patterns, default polarity assumed a start frame delimiter is to be configured using the onebit field in the us_mr register. it con- sists of a user-defined pattern that indicates the beginning of a valid data. figure 34-10 illustrates these pattern s. if the start frame delimiter, also kn own as start bit, is one bit, (onebit at 1), a logic zero is manchester encoded and in dicates that a new character is being sent seri- ally on the line. if the start frame delimiter is a synchronization pattern also referred to as sync (onebit at 0), a sequence of 3 bit times is sent serially on the line to indicate the start of a new character. the sync waveform is in itself an invalid manchester waveform as the transition nrz encoded data manchester encoded data 10110001 txd manchester encoded data txd sfd data 8 bit width "all_one" preamble manchester encoded data txd sfd data 8 bit width "all_zero" preamble manchester encoded data txd sfd data 8 bit width "zero_one" preamble manchester encoded data txd sfd data 8 bit width "one_zero" preamble
453 6289d?atarm?3-oct-11 at91sam9r64/rl64 occurs at the middle of the second bit time. tw o distinct sync patterns are used: the command sync and the data sync. the co mmand sync has a logic one level for one and a half bit times, then a transition to logic zero for the second one and a half bit times. if the modsync field in the us_mr register is set to 1, the next character is a command. if it is set to 0, the next charac- ter is a data. when direct memory access is used, the modsync field can be immediately updated with a modified character located in memory. to enable this mode, var_sync field in us_mr register must be set to 1. in this ca se, the modsync field in us_mr is bypassed and the sync configuration is held in the txsynh in the us_thr register. the usart character for- mat is modified and includes sync information. figure 34-10. start frame delimiter drift compensation drift compensation is available only in 16x oversampling mode. an ha rdware recovery system allows a larger clock drift. to enable the ha rdware system, the bit in the usart_man register must be set. if the rxd edge is one 16x clock c ycle from the expected edge, this is considered as normal jitter and no corrective actions is taken. if the rxd event is between 4 and 2 clock cycles before the expected edge, then the current per iod is shortened by one clock cycle. if the rxd event is between 2 and 3 clock cycles after the expected edge, then the current period is lengthened by one clock cycle. these intervals are considered to be drift and so corrective actions are automatically taken. manchester encoded data txd sfd data one bit start frame delimiter preamble length is set to 0 manchester encoded data txd sfd data command sync start frame delimiter manchester encoded data txd sfd data data sync start frame delimiter
454 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-11. bit resynchronization 34.6.3.3 asynchronous receiver if the usart is programmed in asynchronous operating mode (sync = 0), the receiver over- samples the rxd input line. the oversampling is either 16 or 8 times the baud rate clock, depending on the over bit in the mode register (us_mr). the receiver samples the rxd line. if the line is sampled during one half of a bit time at 0, a start bit is detected and data, parity and stop bits are successively sampled on the bit rate clock. if the oversampling is 16, (over at 0), a start is detected at the eighth sample at 0. then, data bits, parity bit and stop bit are sampled on each 16 sampling clock cycle. if the oversampling is 8 (over at 1), a start bit is detected at the fourth sample at 0. then, data bits, parity bit and stop bit are sampled on each 8 sampling clock cycle. the number of data bits, first bit sent and parity mode are selected by the same fields and bits as the transmitter, i.e. respectively chrl , mode9, msbf and par. for the synchronization mechanism only , the number of stop bits has no effect on the receiver as it considers only one stop bit, regardless of the field nbstop, so that resynchronization between the receiver and the transmitter can occur. moreover, as soon as the st op bit is sampled, the receiver starts looking for a new start bit so that resynchronization can also be accomplished when the transmitter is operating with one stop bit. figure 34-12 and figure 34-13 illustrate start detection and character reception when usart operates in asynchronous mode. rxd oversampling 16x clock sampling point expected edge tolerance synchro. jump sync jump synchro. error synchro. error
455 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-12. asynchronous start detection figure 34-13. asynchronous character reception 34.6.3.4 manchester decoder when the man field in us_mr register is set to 1, the manchester decoder is enabled. the decoder performs both preamble and start frame delimiter detection. one input line is dedicated to manchester encoded input data. an optional preamble sequence can be defined, it s length is user-defined and totally indepen- dent of the emitter side. use rx_pl in us_man register to configure the length of the preamble sequence. if the length is set to 0, no preamble is detected and the function is disabled. in addi- tion, the polarity of the input stream is programmable with rx_mpol field in us_man register. depending on the desired application the preamble pattern matching is to be defined via the rx_pp field in us_man. see figure 34-9 for available preamble patterns. unlike preamble, the start frame delimiter is shared between manchester encoder and decoder. so, if onebit field is set to 1, only a zero encoded manchester can be detected as a valid start frame delimiter. if onebit is set to 0, only a sync pattern is detected as a valid start frame delimiter. decoder operates by detecting transition on incoming stream. if rxd is sampled dur- ing one quarter of a bit time at zero, a start bit is detected. see figure 34-14 . the sample pulse rejection mechanism applies. sampling clock (x16) rxd start detection sampling baud rate clock rxd start rejection sampling 12345678 12345670 1234 12345678 9 10111213141516 d0 sampling d0 d1 d2 d3 d4 d5 d6 d7 rxd parity bit stop bit example: 8-bit, parity enabled baud rate clock start detection 16 samples 16 samples 16 samples 16 samples 16 samples 16 samples 16 samples 16 samples 16 samples 16 samples
456 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-14. asynchronous star t bit detection the receiver is activated and starts preamble and frame delimiter detection, sampling the data at one quarter and then three quarters. if a valid preamble pattern or start frame delimiter is detected, the receiver continues decoding with the same synchronization. if the stream does not match a valid pattern or a valid start frame delimiter, the receiver re-synchronizes on the next valid edge.the minimum time threshold to estimate the bit value is three quarters of a bit time. if a valid preamble (if used) followed with a valid start frame delimiter is detected, the incoming stream is decoded into nrz data and passed to usart for processing. figure 34-15 illustrates manchester pattern mismatch. when incoming data stream is passed to the usart, the receiver is also able to detect manchester code vi olation. a code violation is a lack of transition in the middle of a bit cell. in this case, mane flag in us_csr register is raised. it is cleared by writing the control register (us_cr) with the rststa bit at 1. see figure 34-16 for an exam- ple of manchester error detection during data phase. figure 34-15. preamble pattern mismatch figure 34-16. manchester error flag when the start frame delimiter is a sync pattern (onebit field at 0), both command and data delimiter are supported. if a valid sync is detec ted, the received character is written as rxchr manchester encoded data txd 1234 sampling clock (16 x) start detection manchester encoded data txd sfd data preamble length is set to 8 preamble mismatch invalid pattern preamble mismatch manchester coding error manchester encoded data txd sfd preamble length is set to 4 elementary character bit time manchester coding error detected sampling points preamble subpacket and start frame delimiter were successfully decoded entering usart character area
457 6289d?atarm?3-oct-11 at91sam9r64/rl64 field in the us_rhr register and the rxsynh is updated. rxchr is set to 1 when the received character is a command, and it is set to 0 if the received character is a data. this mechanism alleviates and simplifies the direct memory access as the character contains its own sync field in the same register. as the decoder is setup to be used in unipolar mode, the first bit of the frame has to be a zero-to- one transition. 34.6.3.5 radio interface: manchester encoded usart application this section describes low data rate rf transm ission systems and their integration with a man- chester encoded usart. these systems are based on transmitter and receiver ics that support ask and fsk modulation schemes. the goal is to perform full duplex radio transmissi on of characters using two different frequency carriers. see the configuration in figure 34-17 . figure 34-17. manchester encoded characters rf transmission the usart module is configured as a manchester encoder/decoder. looking at the down- stream communication channel, manchester encoded characters are serially sent to the rf emitter. this may also include a user defined preamble and a start frame delimiter. mostly, pre- amble is used in the rf receiver to distinguish between a valid data from a transmitter and signals due to noise. the manchester stream is then modulated. see figure 34-18 for an exam- ple of ask modulation scheme. when a logic one is sent to the ask modulator, the power amplifier, referred to as pa, is enabled and transmits an rf signal at downstream frequency. when a logic zero is transmitted, the rf signal is turned off. if the fsk modulator is activated, two different frequencies are used to transmit dat a. when a logic 1 is sent, the modulator out- puts an rf signal at frequency f0 and switches to f1 if the data sent is a 0. see figure 34-19 . from the receiver side, another carrier frequency is used. the rf receiver performs a bit check operation examining demodulated data stream. if a valid pattern is detected, the receiver lna vco rf filter demod control bi-dir line pa rf filter mod vco control manchester decoder manchester encoder usart receiver usart emitter ask/fsk upstream receiver ask/fsk downstream transmitter upstream emitter downstream receiver serial configuration interface fup frequency carrier fdown frequency carrier
458 6289d?atarm?3-oct-11 at91sam9r64/rl64 switches to receiving mode. the demodulated stream is sent to the manchester decoder. because of bit checking inside rf ic, the data transferred to the microcontroller is reduced by a user-defined number of bits. the manchester preamble length is to be defined in accordance with the rf ic configuration. figure 34-18. ask modulator output figure 34-19. fsk modulator output 34.6.3.6 synchronous receiver in synchronous mode (sync = 1), the receiver samples the rxd signal on each rising edge of the baud rate clock. if a lo w level is detected, it is considered as a start. all data bits, the parity bit and the stop bits are sampled and the receiver waits for the next start bit. synchronous mode operations provide a high speed transfer capability. configuration fields and bits are the same as in asynchronous mode. figure 34-20 illustrates a character rec eption in synchronous mode. figure 34-20. synchronous mode character reception manchester encoded data default polarity unipolar output txd ask modulator output uptstream frequency f0 nrz stream 10 0 1 manchester encoded data default polarity unipolar output txd fsk modulator output uptstream frequencies [f0, f0+offset] nrz stream 10 0 1 d0 d1 d2 d3 d4 d5 d6 d7 rxd start sampling parity bit stop bit example: 8-bit, parity enabled 1 stop baud rate clock
459 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.3.7 receiver operations when a character reception is completed, it is transferred to the receive holding register (us_rhr) and the rxrdy bit in the status regist er (us_csr) rises. if a character is com- pleted while the rxrdy is set, the ovre (ove rrun error) bit is set. the last character is transferred into us_rhr and overwrites the previous one. the ovre bit is cleared by writing the control register (us_cr) with the rststa (reset status) bit at 1. figure 34-21. receiver status d0 d1 d2 d3 d4 d5 d6 d7 rxd start bit parity bit stop bit baud rate clock write us_cr rxrdy ovre d0 d1 d2 d3 d4 d5 d6 d7 start bit parity bit stop bit rststa = 1 read us_rhr
460 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.3.8 parity the usart supports five parity modes selected by programming the par field in the mode register (us_mr). the par field also enables the multidrop mode, see ?multidrop mode? on page 461 . even and odd parity bit generation and error detection are supported. if even parity is selected, the parity generator of the transmitter drives the parity bit at 0 if a num- ber of 1s in the character data bit is even, and at 1 if the number of 1s is odd. accordingly, the receiver parity checker counts the number of received 1s and reports a parity error if the sam- pled parity bit does not correspond. if odd parity is selected, the parity generator of the transmitter drives the parity bit at 1 if a number of 1s in the character data bit is even, and at 0 if the number of 1s is odd. accordingly, the receiver parity checker counts the number of received 1s and reports a parity error if the sampled parity bit does not correspond. if the mark parity is used, the parity generator of the transmitter drives the parity bit at 1 for all characters. the receiver parity checker reports an error if the parity bit is sampled at 0. if the space parity is used, the parity generator of the transmitter drives the parity bit at 0 for all characters. the receiver parity checker reports an error if the parity bit is sampled at 1. if parity is disabled, the transmitter does not generate any parity bit and the receiver does not report any parity error. table 34-6 shows an example of the parity bit for the character 0x41 (character ascii ?a?) depending on the configuration of the usart. because there are two bits at 1, 1 bit is added when a parity is odd, or 0 is added when a parity is even. when the receiver detects a parity error, it sets the pare (parity error) bit in the channel status register (us_csr). the pare bit can be cleared by writing the control register (us_cr) with the rststa bit at 1. figure 34-22 illustrates the parity bit status setting and clearing. table 34-6. parity bit examples character hexa binary parity bit parity mode a 0x41 0100 0001 1 odd a 0x41 0100 0001 0 even a 0x41 0100 0001 1 mark a 0x41 0100 0001 0 space a 0x41 0100 0001 none none
461 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-22. parity error 34.6.3.9 multidrop mode if the par field in the mode register (us_mr) is programmed to the value 0x6 or 0x07, the usart runs in multidrop mode. this mode differentiates the data characters and the address characters. data is transmitted with the parity bit at 0 and addresses are transmitted with the parity bit at 1. if the usart is configured in multidrop mode, the receiver sets the pare parity error bit when the parity bit is high and the transmitter is able to send a character with the parity bit high when the control register is written with the senda bit at 1. to handle parity error, the pare bit is cleared when the control register is written with the bit rststa at 1. the transmitter sends an address byte (parity bit set) when senda is written to us_cr. in this case, the next byte written to us_thr is trans mitted as an address. any character written in us_thr without having written the command senda is transmitted normally with the parity at 0. 34.6.3.10 transmitter timeguard the timeguard feature enables the usar t interface with slow remote devices. the timeguard function enables the transmitter to insert an idle state on the txd line between two characters. this idle state actually acts as a long stop bit. the duration of the idle state is programmed in the tg field of the transmitter timeguard regis- ter (us_ttgr). when this field is programmed at zero no timeguard is generated. otherwise, the transmitter holds a high level on txd after each transmitted byte during the number of bit periods programmed in tg in addition to the number of stop bits. as illustrated in figure 34-23 , the behavior of txrdy and txempty status bits is modified by the programming of a timeguard. txrdy rises only when the start bit of the next character is sent, and thus remains at 0 during the timeguard transmission if a character has been written in us_thr. txempty remains low until the timeguard transmission is completed as the time- guard is part of the current character being transmitted. d0 d1 d2 d3 d4 d5 d6 d7 rxd start bit bad parity bit stop bit baud rate clock write us_cr pare rxrdy rststa = 1
462 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-23. timeguard operations table 34-7 indicates the maximum length of a timeguard period that the transmitter can handle in relation to the function of the baud rate. 34.6.3.11 receiver time-out the receiver time-out provides support in handling variable-length frames. this feature detects an idle condition on the rxd line. when a time-out is detected, the bit timeout in the channel status register (us_csr) rises and can generate an interrupt, thus indicating to the driver an end of frame. the time-out delay period (during which the receiver waits for a new character) is programmed in the to field of the receiver time-out regist er (us_rtor). if the to field is programmed at 0, the receiver time-out is disabled and no time-out is detected. the timeout bit in us_csr remains at 0. otherwise, the receiver loads a 16-bit counter with the value programmed in to. this counter is decremented at each bit per iod and reloaded each time a new character is received. if the counter reaches 0, the timeout bit in the status register rises. then, the user can either: ? stop the counter clock until a new character is received. this is performed by writing the control register (us_cr) with the sttto (start time-out) bit at 1. in this case, the idle state d0 d1 d2 d3 d4 d5 d6 d7 txd start bit parity bit stop bit baud rate clock start bit tg = 4 write us_thr d0 d1 d2 d3 d4 d5 d6 d7 parity bit stop bit txrdy txempty tg = 4 table 34-7. maximum timeguard length depending on baud rate baud rate bit time timeguard bit/sec s ms 1 200 833 212.50 9 600 104 26.56 14400 69.4 17.71 19200 52.1 13.28 28800 34.7 8.85 33400 29.9 7.63 56000 17.9 4.55 57600 17.4 4.43 115200 8.7 2.21
463 6289d?atarm?3-oct-11 at91sam9r64/rl64 on rxd before a new character is received will not provide a time-out. this prevents having to handle an interrupt before a character is received and allows waiting for the next idle state on rxd after a frame is received. ? obtain an interrupt while no character is rece ived. this is performed by writing us_cr with the retto (reload and start time-out) bit at 1. if retto is performed, the counter starts counting down immediately from the value to. this enables generation of a periodic interrupt so that a user time-out can be handled, for example when no key is pressed on a keyboard. if sttto is performed, the counter clock is stopped until a first character is received. the idle state on rxd before the start of the frame does not provide a time-out. this prevents having to obtain a periodic interrupt and enables a wait of the end of frame when the idle state on rxd is detected. if retto is performed, the counter starts counting down immediately from the value to. this enables generation of a periodic interrupt so t hat a user time-out can be handled, for example when no key is pressed on a keyboard. figure 34-24 shows the block diagram of the receiver time-out feature. figure 34-24. receiver time-out block diagram table 34-8 gives the maximum time-out period for some standard baud rates. 16-bit time-out counter 0 to timeout baud rate clock = character received retto load clock 16-bit value sttto dq 1 clear table 34-8. maximum time-out period baud rate bit time time-out bit/sec s ms 600 1 667 109 225 1 200 833 54 613 2 400 417 27 306 4 800 208 13 653 9 600 104 6 827 14400 69 4 551 19200 52 3 413 28800 35 2 276 33400 30 1 962
464 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.3.12 framing error the receiver is capable of detecting framing errors. a framing error happens when the stop bit of a received character is detected at level 0. this can occur if the receiver and the transmitter are fully desynchronized. a framing error is reported on the frame bit of the channel status register (us_csr). the frame bit is asserted in the middle of the stop bit as soon as the framing error is detected. it is cleared by writing the control register (us_cr) with the rststa bit at 1. figure 34-25. framing error status 34.6.3.13 transmit break the user can request the transmitter to generate a break condition on the txd line. a break con- dition drives the txd line low during at least one complete character. it appears the same as a 0x00 character sent with the parity and the stop bits at 0. however, the transmitter holds the txd line at least during one character until the user requests the break condition to be removed. a break is transmitted by writing the control register (us_cr) with the sttbrk bit at 1. this can be performed at any time, either while the transmitter is empty (no character in either the shift register or in us_thr) or when a character is being transmitted. if a break is requested while a character is being shifted out, the charac ter is first completed before the txd line is held low. once sttbrk command is requested further sttbrk commands are ignored until the end of the break is completed. the break condition is removed by writing us_cr with the stpbrk bit at 1. if the stpbrk is requested before the end of the minimum break duration (one character, including start, data, parity and stop bits), the transmitter ensures that the break condition completes. 56000 18 1 170 57600 17 1 138 200000 5 328 table 34-8. maximum time-out period (continued) baud rate bit time time-out d0 d1 d2 d3 d4 d5 d6 d7 rxd start bit parity bit stop bit baud rate clock write us_cr frame rxrdy rststa = 1
465 6289d?atarm?3-oct-11 at91sam9r64/rl64 the transmitter considers the break as though it is a character, i.e. the sttbrk and stpbrk commands are taken into account only if the txrdy bit in us_csr is at 1 and the start of the break condition clears the txrdy and txempty bits as if a character is processed. writing us_cr with the both sttbrk and stpb rk bits at 1 can lead to an unpredictable result. all stpbrk commands requested without a previous sttbrk command are ignored. a byte written into the transmit holding register while a break is pending, but not started, is ignored. after the break condition, the transmitter returns the txd line to 1 for a minimum of 12 bit times. thus, the transmitter ensures that the remote receiver detects correctly the end of break and the start of the next character. if the timeguard is programmed with a value higher than 12, the txd line is held high for the timeguard period. after holding the txd line for this period, the transmitter resumes normal operations. figure 34-26 illustrates the effect of both the start break (sttbrk ) and stop break (stpbrk) commands on the txd line. figure 34-26. break transmission 34.6.3.14 receive break the receiver detects a break condition when all data, parity and stop bits are low. this corre- sponds to detecting a framing error with data at 0x00, but frame remains low. when the low stop bit is detected, the receiver asserts the rxbrk bit in us_csr. this bit may be cleared by writing the control regi ster (us_cr) with the bit rststa at 1. an end of receive break is detected by a high leve l for at least 2/16 of a bit period in asynchro- nous operating mode or one sample at high level in synchronous operating mode. the end of break detection also asserts the rxbrk bit. 34.6.3.15 hardware handshaking the usart features a hardware handshaking out-of-band flow control. the rts and cts pins are used to connect with the remote device, as shown in figure 34-27 . d0 d1 d2 d3 d4 d5 d6 d7 txd start bit parity bit stop bit baud rate clock write us_cr txrdy txempty stpbrk = 1 sttbrk = 1 break transmission end of break
466 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-27. connection with a remote device for hardware handshaking setting the usart to operate with hardware handshaking is performed by writing the usart_mode field in the mode register (us_mr) to the value 0x2. the usart behavior when hardware handshaking is enabled is the same as the behavior in standard synchronous or asynchronous mode, except that the receiver drives the rts pin as described below and the level on the cts pin modifies the behavior of the transmitter as described below. using this mode requires usin g the pdc channel for reception. the transmitter can handle hardware handshaking in any case. figure 34-28 shows how the receiver operates if hardware handshaking is enabled. the rts pin is driven high if the receiver is disabled and if the status rxbuff (receive buffer full) com- ing from the pdc channel is high. normally, the remote device does not start transmitting while its cts pin (driven by rts) is high. as soon as the receiver is enabled , the rts falls, indicating to the remote device that it can start transmitt ing. defining a new buffer to the pdc clears the status bit rxbuff and, as a result, asserts the pin rts low. figure 34-28. receiver behavior when operating with hardware handshaking figure 34-29 shows how the transmitter operates if hardware handshaking is enabled. the cts pin disables the transmitt er. if a character is being processi ng, the transmitter is disabled only after the completion of the current character and transmission of the next character happens as soon as the pin cts falls. figure 34-29. transmitter behavior when operating with hardware handshaking usart txd cts remote device rxd txd rxd rts rts cts rts rxbuff write us_cr rxen = 1 rxd rxdis = 1 cts txd
467 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.4 iso7816 mode the usart features an iso7816-compatible operating mode. this mode permits interfacing with smart cards and security access modules (sam) communicating through an iso7816 link. both t = 0 and t = 1 protocols defined by the iso7816 specification are supported. setting the usart in iso7816 mode is performed by writing the usart_mode field in the mode register (us_mr) to the value 0x4 for protoc ol t = 0 and to the value 0x5 for protocol t = 1. 34.6.4.1 iso7816 mode overview the iso7816 is a half duplex communication on only one bidirectional line. the baud rate is determined by a division of the clo ck provided to the remote device (see ?baud rate generator? on page 445 ). the usart connects to a smart card as shown in figure 34-30 . the txd line becomes bidirec- tional and the baud rate generator feeds the iso7816 clock on the sck pin. as the txd pin becomes bidirectional, its output remains driven by the output of the transmitter but only when the transmitter is active while its input is direct ed to the input of the receiver. the usart is con- sidered as the master of the communication as it generates the clock. figure 34-30. connection of a smart card to the usart when operating in iso7816, either in t = 0 or t = 1 modes, the character format is fixed. the configuration is 8 data bits, ev en parity and 1 or 2 stop bits, regardless of the values pro- grammed in the chrl, mode9, par and chmode fields. msbf can be used to transmit lsb or msb first. parity bit (par) can be used to transmit in normal or inverse mode. refer to ?usart mode register? on page 479 and ?par: parity type? on page 480 . the usart cannot operate concurrently in both receiver and transmitter modes as the commu- nication is unidirectional at a time. it has to be configured according to the required mode by enabling or disabling either the receiver or the transmitter as desired. enabling both the receiver and the transmitter at the same time in iso7816 mode may lead to unpredictable results. the iso7816 specification defines an inverse transmission format. data bits of the character must be transmitted on the i/o line at their negative value. the usart does not support this for- mat and the user has to perform an exclusive or on the data before writing it in the transmit holding register (us_thr) or after reading it in the receive holding register (us_rhr). 34.6.4.2 protocol t = 0 in t = 0 protocol, a character is made up of one start bit, eight data bits, one parity bit and one guard time, which lasts two bit times. the transmitter shifts out the bits and does not drive the i/o line during the guard time. if no parity error is detected, the i/o line remains at 1 during the guard time and the transmitter can continue with the transmission of the next character, as shown in figure 34-31 . smart card sck clk txd i/o usart
468 6289d?atarm?3-oct-11 at91sam9r64/rl64 if a parity error is detected by the receiver, it drives the i/o line at 0 during the guard time, as shown in figure 34-32 . this error bit is also named nack, for non acknowledge. in this case, the character lasts 1 bit time more, as the guard time length is the same and is added to the error bit time which lasts 1 bit time. when the usart is the receiver and it detects an error, it does not load the erroneous character in the receive holding register (us_rhr). it appropriately sets the pare bit in the status reg- ister (us_sr) so that the software can handle the error. figure 34-31. t = 0 protocol without parity error figure 34-32. t = 0 protocol with parity error receive error counter the usart receiver also records the total number of errors. this can be read in the number of error (us_ner) register. the nb_errors field can record up to 255 errors. reading us_ner automatically clears the nb_errors field. receive nack inhibit the usart can also be configured to inhibit an error. this can be achieved by setting the inack bit in the mode register (us_mr). if inack is at 1, no error signal is driven on the i/o line even if a parity bit is detected, but the inac k bit is set in the status register (us_sr). the inack bit can be cleared by writing the control register (us_cr) with the rstnack bit at 1. moreover, if inack is set, the erroneous receiv ed character is stored in the receive holding register, as if no error occurred. however, the rxrdy bit does not raise. transmit character repetition when the usart is transmitting a character and gets a nack, it can automatically repeat the character before moving on to the next one. repetition is enabled by writing the max_iteration field in the mode register (us_mr) at a value higher than 0. each character can be transmitted up to eight times; the first transmission plus seven repetitions. if max_iteration does not equal zero, the u sart repeats the character as many times as the value loaded in max_iteration. d0 d1 d2 d3 d4 d5 d6 d7 rxd parity bit baud rate clock start bit guard time 1 next start bit guard time 2 d0 d1 d2 d3 d4 d5 d6 d7 i/o parity bit baud rate clock start bit guard time 1 start bit guard time 2 d0 d1 error repetition
469 6289d?atarm?3-oct-11 at91sam9r64/rl64 when the usart repetition number reaches max_iteration, the iteration bit is set in the channel status register (us_csr). if the repetition of the character is acknowledged by the receiver, the repetitions are stopped and the iteration counter is cleared. the iteration bit in us_csr can be cleared by writing the control register with the rsit bit at 1. disable successive receive nack the receiver can limit the number of successive nacks sent back to the remote transmitter. this is programmed by setting the bit dsnack in the mode register (us_mr). the maximum number of nack transmitted is programmed in the max_iteration field. as soon as max_iteration is reached, the character is cons idered as correct, an acknowledge is sent on the line and the iteration bit in the channel status register is set. 34.6.4.3 protocol t = 1 when operating in iso7816 protocol t = 1, the transmission is similar to an asynchronous for- mat with only one stop bit. the parity is generated when transmitting and checked when receiving. parity error detection sets the pare bit in the channel status register (us_csr). 34.6.5 irda mode the usart features an irda mode supplying half-duplex point-to-point wireless communica- tion. it embeds the modulator and demodulator which allows a glueless connection to the infrared transceivers, as shown in figure 34-33 . the modulator and demodulator are compliant with the irda specification version 1.1 and support data transfer speeds ranging from 2.4 kb/s to 115.2 kb/s. the usart irda mode is enabled by setting t he usart_mode field in the mode register (us_mr) to the value 0x8. the irda filter register (us_if) allows configuring the demodulator filter. the usart transmitter and receiver operate in a normal asynchronous mode and all parameters are accessible. note that the modulator and the demodulator are activated. figure 34-33. connection to irda transceivers the receiver and the transmitter must be enabled or disabled according to the direction of the transmission to be managed. irda transceivers rxd rx txd tx usart demodulator modulator receiver transmitter
470 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.5.1 irda modulation for baud rates up to and including 115.2 kbits/sec, the rzi modulation scheme is used. ?0? is represented by a light pulse of 3/16th of a bit time. some examples of signal pulse duration are shown in table 34-9 . figure 34-34 shows an example of character transmission. figure 34-34. irda modulation 34.6.5.2 irda baud rate table 34-10 gives some examples of cd values, baud rate error and pulse duration. note that the requirement on the maximum acceptable error of 1.87% must be met. table 34-9. irda pulse duration baud rate pulse duration (3/16) 2.4 kb/s 78.13 s 9.6 kb/s 19.53 s 19.2 kb/s 9.77 s 38.4 kb/s 4.88 s 57.6 kb/s 3.26 s 115.2 kb/s 1.63 s bit period bit period 3 16 start bit data bits stop bit 0 0 0 0 0 1 1 1 1 1 transmitter output txd table 34-10. irda baud rate error peripheral clock baud rate cd baud rate error pulse time 3 686 400 115 200 2 0.00% 1.63 20 000 000 115 200 11 1.38% 1.63 32 768 000 115 200 18 1.25% 1.63 40 000 000 115 200 22 1.38% 1.63 3 686 400 57 600 4 0.00% 3.26 20 000 000 57 600 22 1.38% 3.26 32 768 000 57 600 36 1.25% 3.26 40 000 000 57 600 43 0.93% 3.26 3 686 400 38 400 6 0.00% 4.88 20 000 000 38 400 33 1.38% 4.88
471 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.5.3 irda demodulator the demodulator is based on the irda receive filter co mprised of an 8-bit down counter which is loaded with the value programmed in us_if. when a falling edge is detected on the rxd pin, the filter counter starts counting down at the master clock (mck) speed. if a rising edge is detected on the rxd pin, the counter stops and is reloaded with us_if. if no rising edge is detected when the counter reaches 0, the input of the receiver is driven low during one bit time. figure 34-35 illustrates the operations of the irda demodulator. figure 34-35. irda demodulator operations as the irda mode uses the same logic as the iso7816, note that the fi_di_ratio field in us_fidi must be set to a value higher than 0 in order to assure irda communications operate correctly. 32 768 000 38 400 53 0.63% 4.88 40 000 000 38 400 65 0.16% 4.88 3 686 400 19 200 12 0.00% 9.77 20 000 000 19 200 65 0.16% 9.77 32 768 000 19 200 107 0.31% 9.77 40 000 000 19 200 130 0.16% 9.77 3 686 400 9 600 24 0.00% 19.53 20 000 000 9 600 130 0.16% 19.53 32 768 000 9 600 213 0.16% 19.53 40 000 000 9 600 260 0.16% 19.53 3 686 400 2 400 96 0.00% 78.13 20 000 000 2 400 521 0.03% 78.13 32 768 000 2 400 853 0.04% 78.13 table 34-10. irda baud rate error (continued) peripheral clock baud rate cd baud rate error pulse time mck rxd receiver input pulse rejected 65432 6 1 65432 0 pulse accepted counter value
472 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.6 rs485 mode the usart features the rs485 mode to enable li ne driver control. while operating in rs485 mode, the usart behaves as though in asynch ronous or synchronous mode and configuration of all the parameters is possible. the differenc e is that the rts pin is driven high when the transmitter is operating. the behavior of the rts pin is controlled by the txempty bit. a typical connection of the usart to a rs485 bus is shown in figure 34-36 . figure 34-36. typical connection to a rs485 bus the usart is set in rs485 mode by programming the usart_mode field in the mode regis- ter (us_mr) to the value 0x1. the rts pin is at a level inverse to the txempt y bit. significantly, the rts pin remains high when a timeguard is programmed so that the line can remain driven after the last character com- pletion. figure 34-37 gives an example of the rts waveform during a character transmission when the timeguard is enabled. figure 34-37. example of rts drive with timeguard usart rts txd rxd differential bus d0 d1 d2 d3 d4 d5 d6 d7 txd start bit parity bit stop bit baud rate clock tg = 4 write us_thr txrdy txempty rts
473 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.6.7 modem mode the usart features modem mode, which enables control of the signals: dtr (data terminal ready), dsr (data set ready), rts (request to send), cts (clear to send), dcd (data car- rier detect) and ri (ring indicator). while operating in modem mode, the usart behaves as a dte (data terminal equipment) as it drives dtr and rts and can detect level change on dsr, dcd, cts and ri. setting the usart in modem mode is performed by writing the usart_mode field in the mode register (us_mr) to the value 0x3. while operating in modem mode the usart behaves as though in asynchronous mode and all the parameter configurations are available. table 34-11 gives the correspondence of the usart signals with modem connection standards. the control of the dtr output pin is performed by writing the control register (us_cr) with the dtrdis and dtren bits respectively at 1. th e disable command forces the corresponding pin to its inactive level, i.e. high. the enable command forces the corresponding pin to its active level, i.e. low. rts ou tput pin is automatically controlled in this mode the level changes are detected on the ri, dsr, dcd and cts pins. if an input change is detected, the riic, dsric, dcdic and ctsic bi ts in the channel status register (us_csr) are set respectively and can trigger an interrupt. the status is automatically cleared when us_csr is read. furthermore, the cts automatically disables the transmitter when it is detected at its inactive state. if a character is being transmitted when the cts rises, the charac- ter transmission is completed before the transmitter is actually disabled. 34.6.8 test modes the usart can be programmed to operate in three different test modes. the internal loopback capability allows on-boar d diagnostics. in the loopback mode the usart interface pins are dis- connected or not and reconfigured for loopback internally or externally. 34.6.8.1 normal mode normal mode connects the rxd pin on the receiver input and the transmitter output on the txd pin. table 34-11. circuit references usart pin v24 ccitt direction txd 2 103 from terminal to modem rts 4 105 from terminal to modem dtr 20 108.2 from terminal to modem rxd 3 104 from modem to terminal cts 5 106 from terminal to modem dsr 6 107 from terminal to modem dcd 8 109 from terminal to modem ri 22 125 from terminal to modem
474 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-38. normal mode configuration 34.6.8.2 automatic echo mode automatic echo mode allows bit-by-bit retransmission. when a bit is received on the rxd pin, it is sent to the txd pin, as shown in figure 34-39 . programming the transmitter has no effect on the txd pin. the rxd pin is still connected to the receiver input, thus the receiver remains active. figure 34-39. automatic echo mode configuration 34.6.8.3 local loopback mode local loopback mode c onnects the output of the transmitter directly to the input of the receiver, as shown in figure 34-40 . the txd and rxd pins are not used. the rxd pin has no effect on the receiver and the txd pin is continuously driven high, as in idle state. figure 34-40. local loopback mode configuration 34.6.8.4 remote loopback mode remote loopback mode directly connects the rxd pin to the txd pin, as shown in figure 34-41 . the transmitter and the receiver are disabled an d have no effect. this mode allows bit-by-bit retransmission. receiver transmitter rxd txd receiver transmitter rxd txd receiver transmitter rxd txd 1
475 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 34-41. remote loopback mode configuration receiver transmitter rxd txd 1
476 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7 usart user interface table 34-12. usart memory map offset register name access reset state 0x0000 control register us_cr write-only ? 0x0004 mode register us_mr read/write ? 0x0008 interrupt enable register us_ier write-only ? 0x000c interrupt disable register us_idr write-only ? 0x0010 interrupt mask register us_imr read-only 0x0 0x0014 channel status register us_csr read-only ? 0x0018 receiver holding register us_rhr read-only 0x0 0x001c transmitter holding register us_thr write-only ? 0x0020 baud rate generator register us_brgr read/write 0x0 0x0024 receiver time-out register us_rtor read/write 0x0 0x0028 transmitter timeguard register us_ttgr read/write 0x0 0x2c - 0x3c reserved ? ? ? 0x0040 fi di ratio register us_fidi read/write 0x174 0x0044 number of errors register us_ner read-only ? 0x0048 reserved ? ? ? 0x004c irda filter register us_if read/write 0x0 0x0050 manchester encoder decoder register us_man read/write 0x30011004 0x5c - 0xfc reserved ? ? ? 0x100 - 0x128 reserved for pdc registers ? ? ?
477 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.1 usart control register name: us_cr access type: write-only ? rstrx: reset receiver 0: no effect. 1: resets the receiver. ? rsttx: reset transmitter 0: no effect. 1: resets the transmitter. ? rxen: receiver enable 0: no effect. 1: enables the receiver, if rxdis is 0. ? rxdis: receiver disable 0: no effect. 1: disables the receiver. ? txen: transmitter enable 0: no effect. 1: enables the transmitter if txdis is 0. ? txdis: transmitter disable 0: no effect. 1: disables the transmitter. ? rststa: reset status bits 0: no effect. 1: resets the status bits pare, frame, ovre, manerr and rxbrk in us_csr. ? sttbrk: start break 0: no effect. 1: starts transmission of a break after the characters present in us_thr and the transmit shi ft register have been trans- mitted. no effect if a break is already being transmitted. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ????rtsdisrtsendtrdisdtren 15 14 13 12 11 10 9 8 retto rstnack rstit senda sttto stpbrk sttbrk rststa 76543210 txdis txen rxdis rxen rsttx rstrx ? ?
478 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? stpbrk: stop break 0: no effect. 1: stops transmission of the break after a minimum of one char acter length and transmits a high level during 12-bit periods. no effect if no break is being transmitted. ? sttto: start time-out 0: no effect. 1: starts waiting for a character before clocking the time-out counter. resets the status bit timeout in us_csr. ? senda: send address 0: no effect. 1: in multidrop mode only, the next character written to the us_thr is sent with the address bit set. ? rstit: reset iterations 0: no effect. 1: resets iteration in us_csr. no e ffect if the iso7816 is not enabled. ? rstnack: reset non acknowledge 0: no effect 1: resets nack in us_csr. ? retto: rearm time-out 0: no effect 1: restart time-out ? dtren: data terminal ready enable 0: no effect. 1: drives the pin dtr at 0. ? dtrdis: data terminal ready disable 0: no effect. 1: drives the pin dtr to 1. ? rtsen: request to send enable 0: no effect. 1: drives the pin rts to 0. ? rtsdis: request to send disable 0: no effect. 1: drives the pin rts to 1.
479 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.2 usart mode register name: us_mr access type: read/write ? usart_mode ? usclks: clock selection ? chrl: character length. 31 30 29 28 27 26 25 24 onebit modsync? man filter ? max_iteration 23 22 21 20 19 18 17 16 ? var_sync dsnack inack over clko mode9 msbf 15 14 13 12 11 10 9 8 chmode nbstop par sync 76543210 chrl usclks usart_mode usart_mode mode of the usart 0000normal 0001rs485 0 0 1 0 hardware handshaking 0011modem 0 1 0 0 is07816 protocol: t = 0 0101reserved 0 1 1 0 is07816 protocol: t = 1 0111reserved 1000irda 11xxreserved usclks selected clock 00mck 0 1 mck/div (div = 8) 10reserved 11sck chrl character length 0 0 5 bits
480 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? sync: synchronous mode select 0: usart operates in asynchronous mode. 1: usart operates in synchronous mode. ? par: parity type ? nbstop: number of stop bits ? chmode: channel mode ? msbf: bit order 0: least significant bit is sent/received first. 1: most significant bit is sent/received first. ? mode9: 9-bit character length 0: chrl defines character length. 1: 9-bit character length. 0 1 6 bits 1 0 7 bits 1 1 8 bits par parity type 0 0 0 even parity 001odd parity 0 1 0 parity forced to 0 (space) 0 1 1 parity forced to 1 (mark) 1 0 x no parity 1 1 x multidrop mode nbstop asynchronous (sync = 0) synchronous (sync = 1) 0 0 1 stop bit 1 stop bit 0 1 1.5 stop bits reserved 1 0 2 stop bits 2 stop bits 1 1 reserved reserved chmode mode description 0 0 normal mode 0 1 automatic echo. receiver input is connected to the txd pin. 1 0 local loopback. transmitter output is connected to the receiver input.. 1 1 remote loopback. rxd pin is internally connected to the txd pin.
481 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? clko: clock output select 0: the usart does not drive the sck pin. 1: the usart drives the sck pin if usclks does not select the external clock sck. ? over: oversampling mode 0: 16x oversampling. 1: 8x oversampling. ? inack: inhibit non acknowledge 0: the nack is generated. 1: the nack is not generated. ? dsnack: disable successive nack 0: nack is sent on the iso line as soon as a parity erro r occurs in the received character (unless inack is set). 1: successive parity errors are counted up to the value spec ified in the max_iteration field. these parity errors gener- ate a nack on the iso line. as soon as this value is r eached, no additional nack is sent on the iso line. the flag iteration is asserted. ? var_sync: variable synchronization of command/data sync start frame delimiter 0: user defined configuration of command or data sync field depending on sync value. 1: the sync field is updated when a char acter is written into us_thr register. ? max_iteration defines the maximum number of iterations in mode iso7816, protocol t= 0. ? filter: infrared receive line filter 0: the usart does not filter the receive line. 1: the usart filters the receive line using a three-sample filter (1/16-bit clock) (2 over 3 majority). ? man: manchester encoder/decoder enable 0: manchester encoder/decoder are disabled. 1: manchester encoder/decoder are enabled. ? modsync : manchester synchronization mode 0:the manchester start bit is a 0 to 1 transition 1: the manchester start bit is a 1 to 0 transition. ? onebit: start frame delimiter selector 0: start frame delimiter is command or data sync. 1: start frame delimiter is one bit.
482 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.3 usart interrupt enable register name: us_ier access type: write-only ? rxrdy: rxrdy interrupt enable ? txrdy: txrdy interrupt enable ? rxbrk: receiver break interrupt enable ? endrx: end of receive transfer interrupt enable ? endtx: end of transmit interrupt enable ? ovre: overrun error interrupt enable ? frame: framing error interrupt enable ? pare: parity error interrupt enable ? timeout: time-out interrupt enable ? txempty: txempty interrupt enable ? iteration: iteration interrupt enable ? txbufe: buffer empty interrupt enable ? rxbuff: buffer full interrupt enable ? nack: non acknowledge interrupt enable ? riic: ring indicator input change enable ? dsric: data set ready input change enable ? dcdic: data carrier detect input change interrupt enable ? ctsic: clear to send input change interrupt enable ? mane: manchester error interrupt enable 0: no effect. 1: enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? ? mane ctsic dcdic dsric riic 15 14 13 12 11 10 9 8 ? ? nack rxbuff txbufe iteration txempty timeout 76543210 pare frame ovre endtx endrx rxbrk txrdy rxrdy
483 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.4 usart interrupt disable register name: us_idr access type: write-only ? rxrdy: rxrdy interrupt disable ? txrdy: txrdy interrupt disable ? rxbrk: receiver bre ak interrupt disable ? endrx: end of receive transfer interrupt disable ? endtx: end of transmit interrupt disable ? ovre: overrun error interrupt disable ? frame: framing error interrupt disable ? pare: parity error interrupt disable ? timeout: time-out interrupt disable ? txempty: txempty interrupt disable ? iteration: iteration interrupt disable ? txbufe: buffer empty interrupt disable ? rxbuff: buffer full interrupt disable ? nack: non acknowledge interrupt disable ? riic: ring indicator input change disable ? dsric: data set ready input change disable ? dcdic: data carrier detect input change interrupt disable ? ctsic: clear to send input change interrupt disable ? mane: manchester error interrupt disable 0: no effect. 1: disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? ? mane ctsic dcdic dsric riic 15 14 13 12 11 10 9 8 ? ? nack rxbuff txbufe iteration txempty timeout 76543210 pare frame ovre endtx endrx rxbrk txrdy rxrdy
484 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.5 usart interrupt mask register name: us_imr access type: read-only ? rxrdy: rxrdy interrupt mask ? txrdy: txrdy interrupt mask ? rxbrk: receiver break interrupt mask ? endrx: end of receive transfer interrupt mask ? endtx: end of transmit interrupt mask ? ovre: overrun error interrupt mask ? frame: framing error interrupt mask ? pare: parity error interrupt mask ? timeout: time-out interrupt mask ? txempty: txempty interrupt mask ? iteration: iteration interrupt mask ? txbufe: buffer empty interrupt mask ? rxbuff: buffer full interrupt mask ? nack: non acknowledge interrupt mask ? riic: ring indicator input change mask ? dsric: data set ready input change mask ? dcdic: data carrier detect input change interrupt mask ? ctsic: clear to send input change interrupt mask ? mane: manchester error interrupt mask 0: the corresponding interrupt is disabled. 1: the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? ? mane ctsic dcdic dsric riic 15 14 13 12 11 10 9 8 ? ? nack rxbuff txbufe iteration txempty timeout 76543210 pare frame ovre endtx endrx rxbrk txrdy rxrdy
485 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.6 usart channel status register name: us_csr access type: read-only ? rxrdy: receiver ready 0: no complete character has been received since the last read of us_rhr or the receiver is disabled. if characters were being received when the receiver was disabled, rx rdy changes to 1 when the receiver is enabled. 1: at least one complete char acter has been rece ived and us_rhr has not yet been read. ? txrdy: transmitter ready 0: a character is in the us_thr waiting to be transferred to the transmit shift register, or an sttbrk command has been requested, or the transmitter is disabled. as soon as the transmitter is enabled, txrdy becomes 1. 1: there is no char acter in the us_thr. ? rxbrk: break received/end of break 0: no break received or end of break detected since the last rststa. 1: break received or end of break detected since the last rststa. ? endrx: end of receiver transfer 0: the end of transfer signal from the receive pdc channel is inactive. 1: the end of transfer signal from the receive pdc channel is active. ? endtx: end of transmitter transfer 0: the end of transfer signal from the transmit pdc channel is inactive. 1: the end of transfer signal from the transmit pdc channel is active. ? ovre: overrun error 0: no overrun error has occurred since the last rststa. 1: at least one overrun error has occurred since the last rststa. ? frame: framing error 0: no stop bit has been detected low since the last rststa. 1: at least one stop bit has been detected low since the last rststa. 31 30 29 28 27 26 25 24 ???????manerr 23 22 21 20 19 18 17 16 cts dcd dsr ri ctsic dcdic dsric riic 15 14 13 12 11 10 9 8 ? ? nack rxbuff txbufe iteration txempty timeout 76543210 pare frame ovre endtx endrx rxbrk txrdy rxrdy
486 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? pare: parity error 0: no parity error has been detected since the last rststa. 1: at least one parity error has been detected since the last rststa. ? timeout: receiver time-out 0: there has not been a time-out since t he last start time-out command (sttto in us_cr) or the time-out register is 0. 1: there has been a time-out since the last start time-out command (sttto in us_cr). ? txempty: transmitter empty 0: there are characters in either us_thr or the tr ansmit shift register, or the transmitter is disabled. 1: there are no characters in us_thr, nor in the transmit shift register. ? iteration: max number of repetitions reached 0: maximum number of repetitions has not been reached since the last rsit. 1: maximum number of repetitions has been reached since the last rsit. ? txbufe: transmission buffer empty 0: the signal buffer empty from the transmit pdc channel is inactive. 1: the signal buffer empty from the transmit pdc channel is active. ? rxbuff: reception buffer full 0: the signal buffer full from the receive pdc channel is inactive. 1: the signal buffer full from th e receive pdc channel is active. ? nack: non acknowledge 0: no non acknowledge has not been detected since the last rstnack. 1: at least one non acknowledge has been detected since the last rstnack. ? riic: ring indicator input change flag 0: no input change has been detected on the ri pin since the last read of us_csr. 1: at least one input change has been detected on the ri pin since the last read of us_csr. ? dsric: data set ready input change flag 0: no input change has been detected on the dsr pin since the last read of us_csr. 1: at least one input change has been detected on the dsr pin since the last read of us_csr. ? dcdic: data carrier detect input change flag 0: no input change has been detected on the dcd pin since the last read of us_csr. 1: at least one input change has been detected on the dcd pin since the last read of us_csr. ? ctsic: clear to send input change flag 0: no input change has been detected on the cts pin since the last read of us_csr. 1: at least one input change has been detected on the cts pin since the last read of us_csr. ? ri: image of ri input 0: ri is at 0. 1: ri is at 1.
487 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? dsr: image of dsr input 0: dsr is at 0 1: dsr is at 1. ? dcd: image of dcd input 0: dcd is at 0. 1: dcd is at 1. ? cts: image of cts input 0: cts is at 0. 1: cts is at 1. ? manerr: manchester error 0: no manchester error has been detected since the last rststa. 1: at least one manchester error has been detected since the last rststa.
488 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.7 usart receive holding register name: us_rhr access type: read-only ? rxchr: received character last character received if rxrdy is set. ? rxsynh: received sync 0: last character received is a data. 1: last character received is a command. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rxsynh ??????rxchr 76543210 rxchr
489 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.8 usart transmit holding register name: us_thr access type: write-only ? txchr: character to be transmitted next character to be transmitted after the current character if txrdy is not set. ? txsynh: sync field to be transmitted 0: the next character sent is encoded as a data. start frame delimiter is data sync. 1: the next character sent is encoded as a command. start frame delimiter is command sync. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 txsynh ??????txchr 76543210 txchr
490 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.9 usart baud rate generator register name: us_brgr access type: read/write ? cd: clock divider ? fp: fractional part 0: fractional divider is disabled. 1 - 7: baudrate resolution, defined by fp x 1/8. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ????? fp 15 14 13 12 11 10 9 8 cd 76543210 cd cd usart_mode iso7816 usart_mode = iso7816 sync = 0 sync = 1 over = 0 over = 1 0 baud rate clock disabled 1 to 65535 baud rate = selected clock/16/cd baud rate = selected clock/8/cd baud rate = selected clock /cd baud rate = selected clock/cd/fi_di_ratio
491 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.10 usart receiver time-out register name: us_rtor access type: read/write ? to: time-out value 0: the receiver time-out is disabled. 1 - 65535: the receiver time-out is enabled and the time-out delay is to x bit period. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 to 76543210 to
492 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.11 usart transmitter timeguard register name: us_ttgr access type: read/write ? tg: timeguard value 0: the transmitter timeguard is disabled. 1 - 255: the transmitter timeguard is enabled and the timeguard delay is tg x bit period. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 tg
493 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.12 usart fi di ratio register name: us_fidi access type: read/write reset value : 0x174 ? fi_di_ratio: fi over di ratio value 0: if iso7816 mode is selected, the baud rate generator generates no signal. 1 - 2047: if iso7816 mode is selected, the baud rate is the clock provided on sck divided by fi_di_ratio. 34.7.13 usart number of errors register name: us_ner access type: read-only ? nb_errors: number of errors total number of errors that occurred during an iso7816 transfer. this register automatically clears when read. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????? fi_di_ratio 76543210 fi_di_ratio 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 nb_errors
494 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.14 usart manchester configuration register name: us_man access type: read/write ? tx_pl: transmitter preamble length 0: the transmitter preamble pattern generation is disabled 1 - 15: the preamble length is tx_pl x bit period ? tx_pp: transmitter preamble pattern ? tx_mpol: transmitter manchester polarity 0: logic zero is coded as a zero-to-one transition , logic one is coded as a one-to-zero transition. 1: logic zero is coded as a one-to-zero transition , logic one is coded as a zero-to-one transition. ? rx_pl: receiver preamble length 0: the receiver preamble pattern detection is disabled 1 - 15: the detected preamble length is rx_pl x bit period ? rx_pp: receiver preamble pattern detected ? rx_mpol: receiver manchester polarity 31 30 29 28 27 26 25 24 ? drift ? rx_mpol ? ? rx_pp 23 22 21 20 19 18 17 16 ???? rx_pl 15 14 13 12 11 10 9 8 ? ? ? tx_mpol ? ? tx_pp 76543210 ???? tx_pl tx_pp preamble pattern default polari ty assumed (tx_mpol field not set) 0 0 all_one 0 1 all_zero 10zero_one 11one_zero rx_pp preamble pattern de fault polarity assumed (rx_mpol fi eld not set) 0 0 all_one 0 1 all_zero 10zero_one 11one_zero
495 6289d?atarm?3-oct-11 at91sam9r64/rl64 0: logic zero is coded as a zero-to-one transition , logic one is coded as a one-to-zero transition. 1: logic zero is coded as a one-to-zero transition , logic one is coded as a zero-to-one transition. ? drift: drift compensation 0: the usart can not recover from an important clock drift 1: the usart can recover from clock drift. the 16x clock mode must be enabled.
496 6289d?atarm?3-oct-11 at91sam9r64/rl64 34.7.15 usart irda filter register name: us_if access type: read/write ? irda_filter: irda filter sets the filter of the irda demodulator. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 irda_filter
497 6289d?atarm?3-oct-11 at91sam9r64/rl64 35. serial synchronous controller (ssc) 35.1 description the atmel synchronous serial controller (ssc ) provides a synchronous communication link with external devices. it supports many serial synchronous communication protocols generally used in audio and telecom applications such as i2s, short frame sync, long frame sync, etc. the ssc contains an independent receiver and transmitter and a common clock divider. the receiver and the transmitter each interface with three signals: the td/rd signal for data, the tk/rk signal for the clock and the tf/rf signal for the frame sync. the transfers can be pro- grammed to start automatically or on different events detected on the frame sync signal. the ssc?s high-level of programmability and its two dedicated pdc channels of up to 32 bits permit a continuous high bit rate data transfer without processor intervention. featuring connection to two pdc channels, the ssc permits interfacing with low processor overhead to the following: ? codec?s in master or slave mode ? dac through dedicated serial interface, particularly i2s ? magnetic card reader
498 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.2 block diagram figure 35-1. block diagram 35.3 application block diagram figure 35-2. application block diagram ssc interface pio pdc apb bridge mck system bus peripheral bus tf tk td rf rk rd interrupt control ssc interrupt pmc interrupt management power management test management ssc serial audio os or rtos driver codec frame management line interface time slot management
499 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.4 pin name list 35.5 product dependencies 35.5.1 i/o lines the pins used for interfacing the compliant external devices may be multiplexed with pio lines. before using the ssc receiver, the pio contro ller must be configured to dedicate the ssc receiver i/o lines to the ssc peripheral mode. before using the ssc transmitter, the pio controller must be configured to dedicate the ssc transmitter i/o lines to the ssc peripheral mode. 35.5.2 power management the ssc is not continuously clocked. the ssc interface may be clocked through the power management controller (pmc), therefore the programmer must first configure the pmc to enable the ssc clock. 35.5.3 interrupt the ssc interface has an interrupt line connected to the advanced interrupt controller (aic). handling interrupts requires programming the aic before configuring the ssc. all ssc interrupts can be enabled/disabled configur ing the ssc interrupt mask register. each pending and unmasked ssc interrupt will assert the ssc interrupt line. the ssc interrupt ser- vice routine can get the interrupt origin by reading the ssc interrupt status register. 35.6 functional description this chapter contains the functional description of the following: ssc functional block, clock management, data format, start, transmitter, receiver and frame sync. the receiver and transmitter operate separately. however, they can work synchronously by pro- gramming the receiver to use the transmit clock and/or to start a data transfer when transmission starts. alternatively, this can be done by programming the transmitter to use the receive clock and/or to start a data transfer when reception starts. the transmitter and the receiver can be pro- grammed to operate with the clock signals provided on either the tk or rk pins. this allows the ssc to support many slave-mode data transfer s. the maximum clock speed allowed on the tk and rk pins is the master clock divided by 2. table 35-1. i/o lines description pin name pin description type rf receiver frame synchro input/output rk receiver clock input/output rd receiver data input tf transmitter frame synchro input/output tk transmitter clock input/output td transmitter data output
500 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 35-3. ssc functional block diagram 35.6.1 clock management the transmitter clock can be generated by: ? an external clock received on the tk i/o pad ? the receiver clock ? the internal clock divider the receiver clock can be generated by: ? an external clock received on the rk i/o pad ? the transmitter clock ? the internal clock divider furthermore, the transmitter block can generate an external clock on the tk i/o pad, and the receiver block can generate an external clock on the rk i/o pad. this allows the ssc to support many master and slave mode data transfers. interrupt control aic user interface apb mck receive clock controller start selector tx clock rk input rf tf clock output controller frame sync controller transmit clock controller transmit shift register start selector transmit sync holding register transmit holding register load shift rx clock tx clock tk input tf tx pdc rf rd rf rk clock output controller frame sync controller receive shift register receive sync holding register receive holding register load shift td tf tk rx clock rx pdc receiver pdc transmitter clock divider
501 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6.1.1 clock divider figure 35-4. divided clock block diagram the master clock divider is determined by the 12-bit field div counter and comparator (so its maximal value is 4095) in the clock mode register ssc_cmr, allowing a master clock division by up to 8190. the divided clock is provided to both the receiver and transmitter. when this field is programmed to 0, the clock divider is not used and remains inactive. when div is set to a value equal to or greater than 1, the divided clock has a frequency of mas- ter clock divided by 2 times div. each level of the divided clock has a duration of the master clock multiplied by div. this ensures a 50 % duty cycle for the divided clock regardless of whether the div value is even or odd. figure 35-5. divided clock generation 35.6.1.2 transmitter clock management the transmitter clock is generated from the receiver clock or the divider clock or an external clock scanned on the tk i/o pad. the transm itter clock is selected by the cks field in ssc_tcmr (transmit clock mode register). transmit clock can be inverted independently by the cki bits in ssc_tcmr. the transmitter can also drive the tk i/o pad cont inuously or be limited to the actual data trans- fer. the clock output is configured by the ssc_tcmr register. the transmit clock inversion (cki) bits have no effect on the clock outputs. programming the tcmr register to select tk pin mck divided clock clock divider / 2 12-bit counter ssc_cmr master clock divided clock div = 1 master clock divided clock div = 3 divided clock frequency = mck/2 divided clock frequency = mck/6 table 35-2. maximum minimum mck / 2 mck / 8190
502 6289d?atarm?3-oct-11 at91sam9r64/rl64 (cks field) and at the same time continuous transmit clock (cko field) might lead to unpredict- able results. figure 35-6. transmitter clock management 35.6.1.3 receiver clock management the receiver clock is generated from the transmitter clock or the divider clock or an external clock scanned on the rk i/o pad. the receive clock is selected by the cks field in ssc_rcmr (receive clock mode register). receive clocks can be inverted independently by the cki bits in ssc_rcmr. the receiver can also drive the rk i/o pad continuo usly or be limited to the actual data transfer. the clock output is configured by the ssc_rcmr register. the receive clock inversion (cki) bits have no effect on the clock outputs. programming the rcmr register to select rk pin (cks field) and at the same time continuous receive clock (cko field) can lead to unpredictable results. figure 35-7. receiver clock management tk (pin) receiver clock divider clock cks cko data transfer cki ckg transmitter clock clock output mux tri_state controller tri-state controller inv mux rk (pin) transmitter clock divider clock cks cko data transfer cki ckg receiver clock clock output mux tri-state controller tri-state controller inv mux
503 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6.1.4 serial clock ratio considerations the transmitter and the receiver can be programmed to operate with the clock signals provided on either the tk or rk pins. this allows the ssc to support many slave-mode data transfers. in this case, the maximum clock speed allowed on the rk pin is: ? master clock divided by 2 if receiver frame synchro is input ? master clock divided by 3 if receiver frame synchro is output in addition, the maximum clock speed allowed on the tk pin is: ? master clock divided by 6 if transmit frame synchro is input ? master clock divided by 2 if transmit frame synchro is output 35.6.2 transmitter operations a transmitted frame is triggered by a start event and can be followed by synchronization data before data transmission. the start event is configured by setting the transmit clock mode register (ssc_tcmr). see ?start? on page 504. the frame synchronization is configured setting the transmit frame mode register (ssc_tfmr). see ?frame sync? on page 506. to transmit data, the transmitter uses a shift re gister clocked by the transmitter clock signal and the start mode selected in the ssc_tcmr. data is written by the application to the ssc_thr register then transferred to the shift register according to the data format selected. when both the ssc_thr and the transmit shift register are empty, the status flag txempty is set in ssc_sr. when the transmit holding register is transferred in the transmit shift register, the status flag txrdy is set in ssc_sr and additional data can be loaded in the holding register. figure 35-8. transmitter block diagram transmit shift register start selector ssc_tshr ssc_thr transmitter clock td ssc_tfmr.fslen ssc_tfmr.datlen ssc_cr.txen ssc_cr.txdis ssc_tcmr.sttdly ssc_tfmr.fsden ssc_tfmr.datnb ssc_sr.txen ssc_tfmr.datdef ssc_tfmr.msbf ssc_tcmr.sttdly ssc_tfmr.fsden 0 1 1 0 rf tf
504 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6.3 receiver operations a received frame is triggered by a start event and can be followed by synchronization data before data transmission. the start event is configured setting the receive clock mode register (ssc_rcmr). see ?start? on page 504. the frame synchronization is configured setting the receive frame mode register (ssc_rfmr). see ?frame sync? on page 506. the receiver uses a shift register clocked by the receiver clock signal and the start mode selected in the ssc_rcmr. the data is transferred from the shift register depending on the data format selected. when the receiver shift register is full, the ssc transfers this data in the holding register, the sta- tus flag rxrdy is set in ssc_sr and the data c an be read in the receiver holding register. if another transfer occurs before read of the rhr register, the status flag overun is set in ssc_sr and the receiver shift register is transferred in the rhr register. figure 35-9. receiver block diagram 35.6.4 start the transmitter and receiver can both be programmed to start their operations when an event occurs, respectively in the transmit start sele ction (start) field of ssc_tcmr and in the receive start selection (start) field of ssc_rcmr. under the following conditions the start event is independently programmable: ? continuous. in this case, the transmission st arts as soon as a word is written in ssc_thr and the reception starts as soon as the receiver is enabled. ? synchronously with the transmitter/receiver ? on detection of a falling/rising edge on tf/rf ? on detection of a low level/high level on tf/rf ? on detection of a level change or an edge on tf/rf receive shift register start selector ssc_rhr ssc_rshr receiver clock rd ssc_rfmr.fslen ssc_rfmr.datlen rf ssc_cr.rxen ssc_cr.rxdis ssc_sr.rxen ssc_rfmr.msbf ssc_rcmr.sttdly ssc_rfmr.datnb tf
505 6289d?atarm?3-oct-11 at91sam9r64/rl64 a start can be programmed in the same manner on either side of the transmit/receive clock register (rcmr/tcmr). thus, the start coul d be on tf (transmit) or rf (receive). moreover, the receiver can start when data is detected in the bit stream with the compare functions. detection on tf/rf input/output is done by the field fsos of the transmit/receive frame mode register (tfmr/rfmr). figure 35-10. transmit start mode figure 35-11. receive pulse/ed ge start modes x tk tf (input) td (output) td (output) td (output) td (output) td (output) td (output) xbob1 x bo b1 bo b1 bo b1 bo b1 bo b1 bo b1 b1 bo x x x sttdly sttdly sttdly sttdly sttdly sttdly start = falling edge on tf start = rising edge on tf start = low level on tf start = high level on tf start = any edge on tf start = level change on tf x rk rf (input) rd (input) rd (input) rd (input) rd (input) rd (input) rd (input) xbob1 x bo b1 bo b1 bo b1 bo b1 bo b1 bo b1 b1 bo x x x sttdly sttdly sttdly sttdly sttdly sttdly start = falling edge on rf start = rising edge on rf start = low level on rf start = high level on rf start = any edge on rf start = level change on rf
506 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6.5 frame sync the transmitter and receiver frame sync pins, tf and rf, can be programmed to generate different kinds of frame synchron ization signals. the frame sync output selection (fsos) field in the receive frame mode register (ssc_rfmr) and in the transmit frame mode register (ssc_tfmr) are used to select the required waveform. ? programmable low or high levels during data transfer are supported. ? programmable high levels before the start of data transfers or toggling are also supported. if a pulse waveform is selected, the frame sync length (fslen) field in ssc_rfmr and ssc_tfmr programs the length of the pulse, from 1 bit time up to 256 bit time. the periodicity of the receive and transmit frame sync pulse output can be programmed through the period divider selection ( period) field in ssc_rcmr and ssc_tcmr. 35.6.5.1 frame sync data frame sync data transmits or receives a specific tag during the frame sync signal. during the frame sync signal, the receiver can sample the rd line and store the data in the receive sync holding register and the transmitter can transfer transmit sync holding register in the shifter register. the data length to be sampled/shifted out during the frame sync signal is programmed by the fslen field in ssc_rfmr/ssc_tfmr and has a maximum value of 16. concerning the receive frame sync data operation, if the frame sync length is equal to or lower than the delay between the start event and the actual data reception, the data sampling operation is performed in the re ceive sync holding register thr ough the receive shift register. the transmit frame sync operation is performed by the transmitter only if the bit frame sync data enable (fsden) in ssc_tfmr is set. if the frame sync length is equal to or lower than the delay between the start event and the actual data transmission, the normal transmission has priority and the data contained in the transmit sync holding register is transferred in the trans- mit register, then shifted out. 35.6.5.2 frame sync edge detection the frame sync edge detection is programmed by the fsedge field in ssc_rfmr/ssc_tfmr. this sets the corres ponding flags rxsyn/txsyn in the ssc status register (ssc_sr) on frame synchro edge detection (signals rf/tf). 35.6.6 receive compare modes figure 35-12. receive compare modes cmp0 cmp3 cmp2 cmp1 ignored b0 b2 b1 start rk rd (input) fslen up to 16 bits (4 in this example) stdly datlen
507 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6.6.1 compare functions length of the comparison patterns (compare 0, compare 1) and thus the number of bits they are compared to is defined by fslen, but with a maximum value of 16 bits. comparison is always done by comparing the last bits received with the comparison pattern. compare 0 can be one start event of the receiver. in this case, the receiver compares at each new sample the last bits received at the compare 0 pattern contained in the compare 0 register (ssc_rc0r). when this start event is selected, the user can program the receiver to start a new data transfer either by writing a new compare 0, or by receiving continuously until compare 1 occurs. this selection is done with the bit (stop) in ssc_rcmr. 35.6.7 data format the data framing format of both the transmitter and the receiver are programmable through the transmitter frame mode register (ssc_tfmr) and the receiver frame mode register (ssc_rfmr). in either case, the user can independently select: ? the event that starts the data transfer (start) ? the delay in number of bit periods between the start event and the first data bit (sttdly) ? the length of the data (datlen) ? the number of data to be transferred for each start event (datnb). ? the length of synchronization transferred for each start event (fslen) ? the bit sense: most or lowest significant bit first (msbf) additionally, the transmitter can be used to tr ansfer synchronization and select the level driven on the td pin while not in data transfer operation. this is done respectively by the frame sync data enable (fsden) and by the data default value (datdef) bits in ssc_tfmr.
508 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 35-13. transmit and receive frame format in edge/pulse start modes note: 1. example of input on falling edge of tf/rf. table 35-3. data frame registers transmitter receiver field length comment ssc_tfmr ssc_rfmr datlen up to 32 size of word ssc_tfmr ssc_rfmr datnb up to 16 number of words transmitted in frame ssc_tfmr ssc_rfmr msbf most significant bit first ssc_tfmr ssc_rfmr fslen up to 16 size of synchro data register ssc_tfmr datdef 0 or 1 data default value ended ssc_tfmr fsden enable send ssc_tshr ssc_tcmr ssc_rcmr period up to 512 frame size ssc_tcmr ssc_rcmr sttdly up to 255 size of transmit start delay sync data default sttdly sync data ignored rd default data datlen data data data datlen data data default default ignored sync data sync data fslen tf/rf (1) start start from ssc_tshr from ssc_thr from ssc_thr from ssc_thr from ssc_thr to ssc_rhr to ssc_rhr to ssc_rshr td (if fsden = 0) td (if fsden = 1) datnb period fromdatdef fromdatdef from datdef from datdef
509 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 35-14. transmit frame format in continuous mode note: 1. sttdly is set to 0. in this example, ssc _thr is loaded twice. fsden value has no effect on the transmission. syncdata cannot be output in continuous mode. figure 35-15. receive frame format in continuous mode note: 1. sttdly is set to 0. 35.6.8 loop mode the receiver can be programmed to receive transmissions from the transmitter. this is done by setting the loop mode (loop) bit in ssc_rfmr. in this case, rd is connected to td, rf is connected to tf and rk is connected to tk. 35.6.9 interrupt most bits in ssc_sr have a corresponding bit in interrupt management registers. the ssc can be programmed to generate an interrupt when it detects an event. the interrupt is controlled by writing ssc_ier (interrupt enable register) and ssc_idr (interrupt disable reg- ister) these registers enable and disable, respectively, the corresponding interrupt by setting and clearing the corresponding bit in ssc_imr (interrupt mask register), which controls the generation of interrupts by asserting the ssc interrupt line connected to the aic. datlen data datlen data default start from ssc_thr from ssc_thr td start: 1. txempty set to 1 2. write into the ssc_thr data datlen data datlen start = enable receiver to ssc_rhr to ssc_rhr rd
510 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 35-16. interrupt block diagram 35.7 ssc application examples the ssc can support several serial communica tion modes used in audio or high speed serial links. some standard applications are shown in t he following figures. all se rial link applications supported by the ssc are not listed here. figure 35-17. audio application block diagram ssc_imr pdc interrupt control ssc interrupt set rxrdy ovrun rxsync receiver transmitter txrdy txempty txsync txbufe endtx rxbuff endrx clear ssc_ier ssc_idr ssc rk rf rd td tf tk clock sck word select ws data sd i2s receiver clock sck word select ws data sd right channel left channel msb msb lsb
511 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 35-18. codec application block diagram figure 35-19. time slot application block diagram ssc rk rf rd td tf tk serial data clock (sclk) frame sync (fsync) serial data out serial data in codec serial data clock (sclk) frame sync (fsync) serial data out serial data in first time slot dstart dend ssc rk rf rd td tf tk sclk fsync data out data in codec first time slot serial data clock (sclk) frame sync (fsync) serial data out serial data in codec second time slot first time slot second time slot dstart dend
512 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8 synchronous serial contro ller (ssc) user interface table 35-4. register mapping offset register register name access reset 0x0 control register ssc_cr write ? 0x4 clock mode register ssc_cmr read/write 0x0 0x8 reserved ? ? ? 0xc reserved ? ? ? 0x10 receive clock mode register ssc_rcmr read/write 0x0 0x14 receive frame mode register ssc_rfmr read/write 0x0 0x18 transmit clock mode register ssc_tcmr read/write 0x0 0x1c transmit frame mode register ssc_tfmr read/write 0x0 0x20 receive holding register ssc_rhr read 0x0 0x24 transmit holding register ssc_thr write ? 0x28 reserved ? ? ? 0x2c reserved ? ? ? 0x30 receive sync. holding register ssc_rshr read 0x0 0x34 transmit sync. holding register ssc_tshr read/write 0x0 0x38 receive compare 0 register ssc_rc0r read/write 0x0 0x3c receive compare 1 register ssc_rc1r read/write 0x0 0x40 status register ssc_sr read 0x000000cc 0x44 interrupt enable register ssc_ier write ? 0x48 interrupt disable register ssc_idr write ? 0x4c interrupt mask register ssc_imr read 0x0 0x50-0xfc reserved ? ? ? 0x100- 0x124 reserved for peripheral data controller (pdc) ? ? ?
513 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.1 ssc control register name: ssc_cr access type: write-only ? rxen: receive enable 0: no effect. 1: enables receive if rxdis is not set. ? rxdis: receive disable 0: no effect. 1: disables receive. if a character is currently being re ceived, disables at end of current character reception. ? txen: transmit enable 0: no effect. 1: enables transmit if txdis is not set. ? txdis: transmit disable 0: no effect. 1: disables transmit. if a character is currently being transmitted, disables at end of current character transmission. ? swrst: software reset 0: no effect. 1: performs a software reset. has priority on any other bit in ssc_cr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 swrst?????txdistxen 76543210 ??????rxdisrxen
514 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.2 ssc clock mode register name: ssc_cmr access type: read/write ? div: clock divider 0: the clock divider is not active. any other value: the divided clock equals the master clock divided by 2 times div. the maximum bit rate is mck/2. the minimum bit rate is mck/2 x 4095 = mck/8190. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???? div 76543210 div
515 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.3 ssc receive clock mode register name: ssc_rcmr access type: read/write ? cks: receive clock selection ? cko: receive clock output mode selection ? cki: receive clock inversion 0: the data inputs (data and frame sync signals) are sample d on receive clock falling edge . the frame sync signal out- put is shifted out on receive clock rising edge. 1: the data inputs (data and frame sync signals) are sample d on receive clock rising edge. the frame sync signal out- put is shifted out on receive clock falling edge. cki affects only the receive clock and not the output clock signal. 31 30 29 28 27 26 25 24 period 23 22 21 20 19 18 17 16 stddly 15 14 13 12 11 10 9 8 ? ? ? stop start 76543210 ckg cki cko cks cks selected receive clock 0x0 divided clock 0x1 tk clock signal 0x2 rk pin 0x3 reserved cko receive clock output mode rk pin 0x0 none input-only 0x1 continuous receive clock output 0x2 receive clock only during data transfers output 0x3-0x7 reserved
516 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? ckg: receive clock gating selection ? start: receive start selection ? stop: receive stop selection 0: after completion of a data transfer when starting with a compare 0, the receiver stops the data transfer and waits for a new compare 0. 1: after starting a receive with a compare 0, the receiver operates in a continuous mode until a compare 1 is detected. ? sttdly: receive start delay if sttdly is not 0, a delay of sttdly clock cycles is inserted between the start event and the actual start of reception. when the receiver is programmed to start synchronously with the transmitter, the delay is also applied. note: it is very important that sttdly be set carefully. if sttdly must be set, it should be done in relation to tag (receive sync data) reception. ? period: receive period divider selection this field selects the divider to apply to the selected receive clock in order to generate a new frame sync signal. if 0, no period signal is generated. if not 0, a period sig nal is generated each 2 x (period+1) receive clock. ckg receive clock gating 0x0 none, continuous clock 0x1 receive clock enabled only if rf low 0x2 receive clock enabled only if rf high 0x3 reserved start receive start 0x0 continuous, as soon as the receiver is enabled, and i mmediately after the end of transfer of the previous data. 0x1 transmit start 0x2 detection of a low level on rf signal 0x3 detection of a high level on rf signal 0x4 detection of a falling edge on rf signal 0x5 detection of a rising edge on rf signal 0x6 detection of any level change on rf signal 0x7 detection of any edge on rf signal 0x8 compare 0 0x9-0xf reserved
517 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.4 ssc receive frame mode register name: ssc_rfmr access type: read/write ? datlen: data length 0: forbidden value (1-bit data length not supported). any other value: the bit stream contains datlen + 1 data bits. moreover, it defines the transfer size performed by the pdc2 assigned to the receiver. if datlen is lower or equal to 7, data transfers are in bytes. if datlen is between 8 and 15 (included), half-words are transferred, and for any other value, 32-bit words are transferred. ? loop: loop mode 0: normal operating mode. 1: rd is driven by td, rf is driven by tf and tk drives rk. ? msbf: most significant bit first 0: the lowest significant bit of the data register is sampled first in the bit stream. 1: the most significant bit of the data register is sampled first in the bit stream. ? datnb: data number per frame this field defines the number of data words to be received after each transfer start, which is equal to (datnb + 1). ? fslen: receive frame sync length this field defines the number of bits sampled and stored in the receive sync data register. when this mode is selected by the start field in the receive clock mode register, it also determines the length of the sampled data to be compared to the compare 0 or compare 1 register. this field is used with fslen_ext to determine the pulse length of the receive frame sync signal. pulse length is equal to fslen + (fslen_ext * 16) + 1 receive clock periods. 31 30 29 28 27 26 25 24 fslen_ext fslen_ext fslen_ext fslen_ext ???fsedge 23 22 21 20 19 18 17 16 ? fsos fslen 15 14 13 12 11 10 9 8 ??? ? datnb 765 4 3210 msbf ? loop datlen
518 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? fsos: receive frame sync output selection ? fsedge: frame sync edge detection determines which edge on frame sy nc will generate the in terrupt rxsyn in the ssc status register. ? fslen_ext: fslen field extension extends fslen field. for details, refer to fslen bit description on page 517 . fsos selected receive frame sync signal rf pin 0x0 none input-only 0x1 negative pulse output 0x2 positive pulse output 0x3 driven low during data transfer output 0x4 driven high during data transfer output 0x5 toggling at each start of data transfer output 0x6-0x7 reserved undefined fsedge frame sync edge detection 0x0 positive edge detection 0x1 negative edge detection
519 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.5 ssc transmit clock mode register name: ssc_tcmr access type: read/write ? cks: transmit clock selection ? cko: transmit clock output mode selection ? cki: transmit clock inversion 0: the data outpu ts (data and frame sync signals) are shifted out on tr ansmit clock falling edge . the frame sync signal input is sampled on transmit clock rising edge. 1: the data outputs (data and frame sync signals) are shifted out on transmit clock rising edge. the frame sync signal input is sampled on tran smit clock falling edge. cki affects only the transmit clock and not the output clock signal. 31 30 29 28 27 26 25 24 period 23 22 21 20 19 18 17 16 sttdly 15 14 13 12 11 10 9 8 ???? start 76543210 ckg cki cko cks cks selected transmit clock 0x0 divided clock 0x1 rk clock signal 0x2 tk pin 0x3 reserved cko transmit clock output mode tk pin 0x0 none input-only 0x1 continuous transmit clock output 0x2 transmit clock only during data transfers output 0x3-0x7 reserved
520 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? ckg: transmit clock gating selection ? start: transmit start selection ? sttdly: transmit start delay if sttdly is not 0, a delay of sttdly clock cycles is inse rted between the start event and the actual start of transmission of data. when the transmitter is programmed to start sync hronously with the receiver, the delay is also applied. note: sttdly must be set carefully. if sttdly is too short in respect to tag (transmit sync data) emission, data is emit- ted instead of the end of tag. ? period: transmit period divider selection this field selects the divider to apply to the selected transmi t clock to generate a new frame sync signal. if 0, no period signal is generated. if not 0, a period signal is generated at each 2 x (period+1) transmit clock. ckg transmit clock gating 0x0 none, continuous clock 0x1 transmit clock enabled only if tf low 0x2 transmit clock enabled only if tf high 0x3 reserved start transmit start 0x0 continuous, as soon as a word is written in the ssc_thr register (if transmit is enabled), and immediately after the end of transfer of the previous data. 0x1 receive start 0x2 detection of a low level on tf signal 0x3 detection of a high level on tf signal 0x4 detection of a falling edge on tf signal 0x5 detection of a rising edge on tf signal 0x6 detection of any level change on tf signal 0x7 detection of any edge on tf signal 0x8 - 0xf reserved
521 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.6 ssc transmit frame mode register name: ssc_tfmr access type: read/write ? datlen: data length 0: forbidden value (1-bit data length not supported). any other value: the bit stream contains datlen + 1 data bits. moreover, it defines the transfer size performed by the pdc2 assigned to the transmit. if datlen is lower or equal to 7, data transfers are bytes, if datlen is between 8 and 15 (included), half-words are transferred, and for any other value, 32-bit words are transferred. ? datdef: data default value this bit defines the level driven on the td pin while out of tran smission. note that if the pin is defined as multi-drive by th e pio controller, the pin is enabled only if the scc td output is 1. ? msbf: most significant bit first 0: the lowest significant bit of the data register is shifted out first in the bit stream. 1: the most significant bit of the data register is shifted out first in the bit stream. ? datnb: data number per frame this field defines the number of data words to be transferred after each transfer start, which is equal to (datnb +1). ? fslen: transmit frame sync length this field defines the length of the transmit frame sync sig nal and the number of bits shifted out from the transmit sync data register if fsden is 1. this field is used with fslen_ext to determine the pulse length of the transmit frame sync signal. pulse length is equal to fslen + (fslen_ext * 16) + 1 transmit clock periods. 31 30 29 28 27 26 25 24 fslen_ext fslen_ext fslen_ext fslen_ext ???fsedge 23 22 21 20 19 18 17 16 fsden fsos fslen 15 14 13 12 11 10 9 8 ??? ? datnb 765 4 3210 m s b f ? dat d e f dat l e n
522 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? fsos: transmit frame sync output selection ? fsden: frame sync data enable 0: the td line is driven with the default va lue during the transmi t frame sync signal. 1: ssc_tshr value is shifted out during the tran smission of the transmit frame sync signal. ? fsedge: frame sync edge detection determines which edge on frame sync will gene rate the interrupt tx syn (status register). ? fslen_ext: fslen field extension extends fslen field. for details, refer to fslen bit description on page 521 . fsos selected transmit frame sync signal tf pin 0x0 none input-only 0x1 negative pulse output 0x2 positive pulse output 0x3 driven low during data transfer output 0x4 driven high during data transfer output 0x5 toggling at each start of data transfer output 0x6-0x7 reserved undefined fsedge frame sync edge detection 0x0 positive edge detection 0x1 negative edge detection
523 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.7 ssc receive holding register name: ssc_rhr access type: read-only ? rdat: receive data right aligned regardless of the number of data bits defined by datlen in ssc_rfmr. 35.8.8 ssc transmit holding register name: ssc_thr access type: write-only ? tdat: transmit data right aligned regardless of the number of data bits defined by datlen in ssc_tfmr. 31 30 29 28 27 26 25 24 rdat 23 22 21 20 19 18 17 16 rdat 15 14 13 12 11 10 9 8 rdat 76543210 rdat 31 30 29 28 27 26 25 24 tdat 23 22 21 20 19 18 17 16 tdat 15 14 13 12 11 10 9 8 tdat 76543210 tdat
524 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.9 ssc receive synchronization holding register name: ssc_rshr access type: read-only ? rsdat: receive synchronization data 35.8.10 ssc transmit synchronization holding register name: ssc_tshr access type: read/write ? tsdat: transmit synchronization data 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rsdat 76543210 rsdat 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 tsdat 76543210 tsdat
525 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.11 ssc receive compare 0 register name: ssc_rc0r access type: read/write ? cp0: receive compare data 0 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cp0 76543210 cp0
526 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.12 ssc receive compare 1 register name: ssc_rc1r access type: read/write ? cp1: receive compare data 1 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cp1 76543210 cp1
527 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.13 ssc status register name: ssc_sr access type: read-only ? txrdy: transmit ready 0: data has been loaded in ssc_thr and is waiting to be loaded in the transmit shift register (tsr). 1: ssc_thr is empty. ? txempty: transmit empty 0: data remains in ssc_thr or is currently transmitted from tsr. 1: last data written in ssc_thr has been loaded in tsr and last data loaded in tsr has been transmitted. ? endtx: end of transmission 0: the register ssc_tcr has not reached 0 since the last write in ssc_tcr or ssc_tncr. 1: the register ssc_tcr has reached 0 sinc e the last write in ssc_tcr or ssc_tncr. ? txbufe: transmit buffer empty 0: ssc_tcr or ssc_tncr have a value other than 0. 1: both ssc_tcr and ssc_tncr have a value of 0. ? rxrdy: receive ready 0: ssc_rhr is empty. 1: data has been received and loaded in ssc_rhr. ? ovrun: receive overrun 0: no data has been loaded in ssc_rhr wh ile previous data has not been read since the last read of the status register. 1: data has been loaded in ssc_rhr while previous data has not yet been read since the last read of the status register. ? endrx: end of reception 0: data is written on the receive counter register or receive ne xt counter register. 1: end of pdc transfer when receive counter register has arrived at zero. ? rxbuff: receive buffer full 0: ssc_rcr or ssc_rncr have a value other than 0. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????rxentxen 15 14 13 12 11 10 9 8 ????rxsynt xsyn cp1 cp0 76543210 rxbuff endrx ovrun rxrdy txbufe endtx txempty txrdy
528 6289d?atarm?3-oct-11 at91sam9r64/rl64 1: both ssc_rcr and ssc_rncr have a value of 0. ?cp0: compare 0 0: a compare 0 has not occurred since the last read of the status register. 1: a compare 0 has occurred since the last read of the status register. ?cp1: compare 1 0: a compare 1 has not occurred since the last read of the status register. 1: a compare 1 has occurred since the last read of the status register. ? txsyn: transmit sync 0: a tx sync has not occurred since the last read of the status register. 1: a tx sync has occurred since the last read of the status register. ? rxsyn: receive sync 0: an rx sync has not occurred since the last read of the status register. 1: an rx sync has occurred since the last read of the status register. ? txen: transmit enable 0: transmit is disabled. 1: transmit is enabled. ? rxen: receive enable 0: receive is disabled. 1: receive is enabled.
529 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.14 ssc interrupt enable register name: ssc_ier access type: write-only ? txrdy: transmit ready interrupt enable 0: no effect. 1: enables the transmit ready interrupt. ? txempty: transmit empty interrupt enable 0: no effect. 1: enables the transmit empty interrupt. ? endtx: end of transmission interrupt enable 0: no effect. 1: enables the end of transmission interrupt. ? txbufe: transmit buffer empty interrupt enable 0: no effect. 1: enables the transmit buffer empty interrupt ? rxrdy: receive ready interrupt enable 0: no effect. 1: enables the receive ready interrupt. ? ovrun: receive overrun interrupt enable 0: no effect. 1: enables the receive overrun interrupt. ? endrx: end of reception interrupt enable 0: no effect. 1: enables the end of reception interrupt. ? rxbuff: receive buffer full interrupt enable 0: no effect. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????rxsynt xsyn cp1 cp0 76543210 rxbuff endrx ovrun rxrdy txbufe endtx txempty txrdy
530 6289d?atarm?3-oct-11 at91sam9r64/rl64 1: enables the receive buffer full interrupt. ? cp0: compare 0 interrupt enable 0: no effect. 1: enables the compare 0 interrupt. ? cp1: compare 1 interrupt enable 0: no effect. 1: enables the compare 1 interrupt. ? txsyn: tx sync interrupt enable 0: no effect. 1: enables the tx sync interrupt. ? rxsyn: rx sync interrupt enable 0: no effect. 1: enables the rx sync interrupt.
531 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.15 ssc interrupt disable register name: ssc_idr access type: write-only ? txrdy: transmit ready interrupt disable 0: no effect. 1: disables the transmit ready interrupt. ? txempty: transmit empty interrupt disable 0: no effect. 1: disables the transmit empty interrupt. ? endtx: end of transmission interrupt disable 0: no effect. 1: disables the end of transmission interrupt. ? txbufe: transmit buffer empty interrupt disable 0: no effect. 1: disables the transmit buffer empty interrupt. ? rxrdy: receive ready interrupt disable 0: no effect. 1: disables the rece ive ready interrupt. ? ovrun: receive overrun interrupt disable 0: no effect. 1: disables the receive overrun interrupt. ? endrx: end of reception interrupt disable 0: no effect. 1: disables the end of reception interrupt. ? rxbuff: receive buffer full interrupt disable 0: no effect. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????rxsynt xsyn cp1 cp0 76543210 rxbuff endrx ovrun rxrdy txbufe endtx txempty txrdy
532 6289d?atarm?3-oct-11 at91sam9r64/rl64 1: disables the receiv e buffer full interrupt. ? cp0: compare 0 interrupt disable 0: no effect. 1: disables the compare 0 interrupt. ? cp1: compare 1 interrupt disable 0: no effect. 1: disables the compare 1 interrupt. ? txsyn: tx sync interrupt enable 0: no effect. 1: disables the tx sync interrupt. ? rxsyn: rx sync interrupt enable 0: no effect. 1: disables the rx sync interrupt.
533 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.8.16 ssc interrupt mask register name: ssc_imr access type: read-only ? txrdy: transmit ready interrupt mask 0: the transmit ready interrupt is disabled. 1: the transmit ready interrupt is enabled. ? txempty: transmit empty interrupt mask 0: the transmit empty interrupt is disabled. 1: the transmit empty interrupt is enabled. ? endtx: end of transmission interrupt mask 0: the end of transmission interrupt is disabled. 1: the end of transmission interrupt is enabled. ? txbufe: transmit buffer empty interrupt mask 0: the transmit buffer empty interrupt is disabled. 1: the transmit buffer empty interrupt is enabled. ? rxrdy: receive ready interrupt mask 0: the receive ready interrupt is disabled. 1: the receive ready interrupt is enabled. ? ovrun: receive overrun interrupt mask 0: the receive overrun interrupt is disabled. 1: the receive overrun interrupt is enabled. ? endrx: end of reception interrupt mask 0: the end of reception interrupt is disabled. 1: the end of reception interrupt is enabled. ? rxbuff: receive buffer full interrupt mask 0: the receive buffer full interrupt is disabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????rxsynt xsyn cp1 cp0 76543210 rxbuf endrx ovrun rxrdy txbufe endtx txempty txrdy
534 6289d?atarm?3-oct-11 at91sam9r64/rl64 1: the receive buffer full interrupt is enabled. ? cp0: compare 0 interrupt mask 0: the compare 0 interrupt is disabled. 1: the compare 0 interrupt is enabled. ? cp1: compare 1 interrupt mask 0: the compare 1 interrupt is disabled. 1: the compare 1 interrupt is enabled. ? txsyn: tx sync interrupt mask 0: the tx sync interrupt is disabled. 1: the tx sync interrupt is enabled. ? rxsyn: rx sync interrupt mask 0: the rx sync interrupt is disabled. 1: the rx sync interrupt is enabled.
535 6289d?atarm?3-oct-11 at91sam9r64/rl64 36. timer counter (tc) 36.1 description the timer counter (tc) includes three identical 16-bit timer counter channels. each channel can be independently programmed to perform a wide range of functions including frequency measurement, event counting, interval measurement, pulse generation, delay timing and pulse width modulation. each channel has three external clock inputs, fi ve internal clock inputs and two multi-purpose input/output signals which can be configured by the user. each channel drives an internal inter- rupt signal which can be programmed to generate processor interrupts. the timer counter block has two global registers which act upon all three tc channels. the block control register allows the three channels to be started simultaneously with the same instruction. the block mode register defines the external clock inputs for each channel, allowing them to be chained. table 36-1 gives the assignment of the device timer counter clock inputs common to timer counter 0 to 2 table 36-1. timer counter clock assignment name definition timer_clock1 mck/2 timer_clock2 mck/8 timer_clock3 mck/32 timer_clock4 mck/128 timer_clock5 slck
536 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.2 block diagram figure 36-1. timer counter block diagram timer/counter channel 0 timer/counter channel 1 timer/counter channel 2 sync parallel i/o controller tc1xc1s tc0xc0s tc2xc2s int0 int1 int2 tioa0 tioa1 tioa2 tiob0 tiob1 tiob2 xc0 xc1 xc2 xc0 xc1 xc2 xc0 xc1 xc2 tclk0 tclk1 tclk2 tclk0 tclk1 tclk2 tclk0 tclk1 tclk2 tioa1 tioa2 tioa0 tioa2 tioa0 tioa1 advanced interrupt controller tclk0 tclk1 tclk2 tioa0 tiob0 tioa1 tiob1 tioa2 tiob2 timer counter tioa tiob tioa tiob tioa tiob sync sync timer_clock2 timer_clock3 timer_clock4 timer_clock5 timer_clock1 table 36-2. signal name description block/channel signal name description channel signal xc0, xc1, xc2 external clock inputs tioa capture mode: timer counter input waveform mode: timer counter output tiob capture mode: timer counter input waveform mode: timer counter input/output int interrupt signal output sync synchronization input signal
537 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.3 pin name list 36.4 product dependencies 36.4.1 i/o lines the pins used for interfacing the compliant ex ternal devices may be multiplexed with pio lines. the programmer must first program the pio controllers to assign the tc pins to their peripheral functions. 36.4.2 power management the tc is clocked through the power management controller (pmc), thus the programmer must first configure the pmc to enable the timer counter clock. 36.4.3 interrupt the tc has an interrupt line connected to the advanced interrupt controller (aic). handling the tc interrupt requires programming the aic before configuring the tc. table 36-3. tc pin list pin name description type tclk0-tclk2 external clock input input tioa0-tioa2 i/o line a i/o tiob0-tiob2 i/o line b i/o
538 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.5 functional description 36.5.1 tc description the three channels of the timer counter are independent and identical in operation. the regis- ters for channel programming are listed in table 36-5 on page 551 . 36.5.2 16-bit counter each channel is organized around a 16-bit counter. the value of the counter is incremented at each positive edge of the selected clock. when the counter has reached the value 0xffff and passes to 0x0000, an overflow occurs and the covfs bit in tc_sr (status register) is set. the current value of the counter is accessible in real time by reading the counter value regis- ter, tc_cv. the counter can be reset by a trigger. in this case, the counter value passes to 0x0000 on the next valid edge of the selected clock. 36.5.3 clock selection at block level, input clock signals of each channel can either be connected to the external inputs tclk0, tclk1 or tclk2, or be connected to t he internal i/o signals tioa0, tioa1 or tioa2 for chaining by programming the tc_bmr (block mode). see figure 36-2 on page 539 . each channel can independently select an internal or external clock source for its counter: ? internal clock signals: timer_cl ock1, timer_clock2, timer_clock3, timer_clock4, timer_clock5 ? external clock signals: xc0, xc1 or xc2 this selection is made by the tcclks bits in the tc channel mode register. the selected clock can be inverted with the clki bit in tc_cmr. this allows counting on the opposite edges of the clock. the burst function allows the clock to be validat ed when an external signal is high. the burst parameter in the mode register defines this signal (none, xc0, xc1, xc2). see figure 36-3 on page 539 note: in all cases, if an external clock is used, the du ration of each of its leve ls must be longer than the master clock period. the external clock frequen cy must be at least 2.5 times lower than the mas- ter clock
539 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-2. clock chaining selection figure 36-3. clock selection timer/counter channel 0 sync tc0xc0s tioa0 tiob0 xc0 xc1 = tclk1 xc2 = tclk2 tclk0 tioa1 tioa2 timer/counter channel 1 sync tc1xc1s tioa1 tiob1 xc0 = tclk2 xc1 xc2 = tclk2 tclk1 tioa0 tioa2 timer/counter channel 2 sync tc2xc2s tioa2 tiob2 xc0 = tclk0 xc1 = tclk1 xc2 tclk2 tioa0 tioa1 timer_clock1 timer_clock2 timer_clock3 timer_clock4 timer_clock5 xc0 xc1 xc2 tcclks clki burst 1 selected clock
540 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.5.4 clock control the clock of each counter can be controlled in two different ways: it can be enabled/disabled and started/stopped. see figure 36-4 . ? the clock can be enabled or disabled by the user with the clken and the clkdis commands in the control register. in capture mode it can be disabled by an rb load event if ldbdis is set to 1 in tc_cmr. in waveform mode, it can be disabled by an rc compare event if cpcdis is set to 1 in tc_cmr. when disabled, the start or the stop actions have no effect: only a clken command in the control register can re-enable the clock. when the clock is enabled, the clksta bit is set in the status register. ? the clock can also be started or stopped: a trigger (software, synchro, external or compare) always starts the clock. the clock can be stopped by an rb load event in capture mode (ldbstop = 1 in tc_cmr) or a rc compare event in waveform mode (cpcstop = 1 in tc_cmr). the start and the stop commands have effect only if the clock is enabled. figure 36-4. clock control 36.5.5 tc operating modes each channel can independently operate in two different modes: ? capture mode provides measurement on signals. ? waveform mode provides wave generation. the tc operating mode is prog rammed with the wave bit in th e tc channel mode register. in capture mode, tioa and tiob are configured as inputs. in waveform mode, tioa is always configured to be an output and tiob is an output if it is not selected to be the external trigger. 36.5.6 trigger a trigger resets the counter and starts the counter clock. three types of triggers are common to both modes, and a fourth external trigger is available to each mode. the following triggers are common to both modes: qs r s r q clksta clken clkdis stop event disable event counter clock selected clock trigger
541 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? software trigger: each channel has a software trigger, available by setting swtrg in tc_ccr. ? sync: each channel has a synchronization signal sync. when asserted, this signal has the same effect as a software trigger. the sync signals of all channels are asserted simultaneously by writing tc_bcr (block control) with sync set. ? compare rc trigger: rc is implemented in each channel and can provide a trigger when the counter value matches the rc value if cpctrg is set in tc_cmr. the channel can also be configured to have an external trigger. in capture mode, the external trigger signal can be selected between tioa and tiob. in waveform mode, an external event can be programmed on one of the following signals: tiob, xc0, xc1 or xc2. this external event can then be programmed to perform a trigger by setting enetrg in tc_cmr. if an external trigger is used, the duration of the pulses must be longer than the master clock period in order to be detected. regardless of the trigger used, it will be taken into account at the following active edge of the selected clock. this means that the counter value can be read differently from zero just after a trigger, especially when a low frequency signal is selected as the clock. 36.5.7 capture operating mode this mode is entered by clearing the wave parameter in tc_cmr (channel mode register). capture mode allows the tc channel to perform measurements such as pulse timing, fre- quency, period, duty cycle and phase on tioa and tiob sig nals which are considered as inputs. figure 36-5 shows the configuration of the tc channel when programmed in capture mode. 36.5.8 capture registers a and b registers a and b (ra and rb) are used as capture registers. this means that they can be loaded with the counter value when a progr ammable event occurs on the signal tioa. the ldra parameter in tc_cmr defines the tioa edge for the loading of register a, and the ldrb parameter defines the tioa edge for the loading of register b. ra is loaded only if it has not been loaded since the last trigger or if rb has been loaded since the last loading of ra. rb is loaded only if ra has been loaded sinc e the last trigger or t he last loading of rb. loading ra or rb before the read of the last value loaded sets the overrun error flag (lovrs) in tc_sr (status register). in this case, the old value is overwritten. 36.5.9 trigger conditions in addition to the sync signal, the software trigger and the rc compare trigger, an external trig- ger can be defined. the abetrg bit in tc_cmr selects tioa or tiob input signal as an external trigger. the etrgedg parameter defines the ed ge (rising, falling or both) det ected to genera te an external trigger. if etrgedg = 0 (none), the external trigger is disabled.
542 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-5. capture mode timer_clock1 timer_clock2 timer_clock3 timer_clock4 timer_clock5 xc0 xc1 xc2 tcclks clki qs r s r q clksta clken clkdis burst tiob register c capture register a capture register b compare rc = 16-bit counter abetrg swtrg etrgedg cpctrg tc1_imr trig ldrbs ldras etrgs tc1_sr lovrs covfs sync 1 mtiob tioa mtioa ldra ldbstop if ra is not loaded or rb is loaded if ra is loaded ldbdis cpcs int edge detector edge detector ldrb edge detector clk ovf reset timer/counter channel
543 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.5.10 waveform operating mode waveform operating mode is entered by setting the wave parameter in tc_cmr (channel mode register). in waveform operating mode the tc channel generates 1 or 2 pwm signals with the same fre- quency and independently programmable duty cycles , or generates differe nt types of one-shot or repetitive pulses. in this mode, tioa is configured as an output and tiob is defined as an output if it is not used as an external event ( eevt parameter in tc_cmr). figure 36-6 shows the configuration of the tc channel when programmed in waveform operat- ing mode. 36.5.11 waveform selection depending on the wavsel parameter in tc_c mr (channel mode register), the behavior of tc_cv varies. with any selection, ra, rb and rc can all be used as compare registers. ra compare is used to control the tioa output, rb compare is used to control the tiob output (if correctly configured) and rc compare is used to control tioa and/or tiob outputs.
544 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-6. waveform mode tcclks clki qs r s r q clksta clken clkdis cpcdis burst tiob register a register b register c compare ra = compare rb = compare rc = cpcstop 16-bit counter eevt eevtedg sync swtrg enetrg wavsel tc1_imr trig acpc acpa aeevt aswtrg bcpc bcpb beevt bswtrg tioa mtioa tiob mtiob cpas covfs etrgs tc1_sr cpcs cpbs clk ovf reset output controller output controller int 1 edge detector timer/counter channel timer_clock1 timer_clock2 timer_clock3 timer_clock4 timer_clock5 xc0 xc1 xc2 wavsel
545 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.5.11.1 wavsel = 00 when wavsel = 00, the value of tc_cv is incr emented from 0 to 0x ffff. once 0xffff has been reached, the value of tc_cv is reset. incrementation of tc_cv starts again and the cycle continues. see figure 36-7 . an external event trigger or a software trigger can reset the value of tc_cv. it is important to note that the trigger may occur at any time. see figure 36-8 . rc compare cannot be programmed to generate a trigger in this configuration. at the same time, rc compare can stop the counter clock (cpcstop = 1 in tc_cmr) and/or disable the counter clock (cpcdis = 1 in tc_cmr). figure 36-7. wavsel= 00 without trigger time counter value r c r b r a tiob tioa counter cleared by compare match with 0xffff 0xffff waveform examples
546 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-8. wavsel= 00 with trigger 36.5.11.2 wavsel = 10 when wavsel = 10, the value of tc_cv is incremented from 0 to the value of rc, then auto- matically reset on a rc compare. once the value of tc_cv has been reset, it is then incremented and so on. see figure 36-9 . it is important to note that tc_cv can be reset at any time by an external event or a software trigger if both are programmed correctly. see figure 36-10 . in addition, rc compare can stop the counter clock (cpcstop = 1 in tc_cmr) and/or disable the counter clock (cpcdis = 1 in tc_cmr). figure 36-9. wavsel = 10 without trigger time counter value r c r b r a tiob tioa counter cleared by compare match with 0xffff 0xffff waveform examples counter cleared by trigger time counter value r c r b r a tiob tioa counter cleared by compare match with rc 0xffff waveform examples
547 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-10. wavsel = 10 with trigger 36.5.11.3 wavsel = 01 when wavsel = 01, the value of tc_cv is incremented from 0 to 0xffff. once 0xffff is reached, the value of tc_cv is decremented to 0, then re-incremented to 0xffff and so on. see figure 36-11 . a trigger such as an external event or a software trigger can modify tc_cv at any time. if a trig- ger occurs while tc_cv is incrementing, tc_cv then decrements. if a trigger is received while tc_cv is decrementing, tc_cv then increments. see figure 36-12 . rc compare cannot be programmed to generate a trigger in this configuration. at the same time, rc compare can stop the counter clock (cpcstop = 1) and/or disable the counter clock (cpcdis = 1). time counter value r c r b r a tiob tioa counter cleared by compare match with rc 0xffff waveform examples counter cleared by trigger
548 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-11. wavsel = 01 without trigger figure 36-12. wavsel = 01 with trigger 36.5.11.4 wavsel = 11 when wavsel = 11, the value of tc_cv is incremented from 0 to rc. once rc is reached, the value of tc_cv is decremented to 0, then re-incremented to rc and so on. see figure 36-13 . a trigger such as an external event or a software trigger can modify tc_cv at any time. if a trig- ger occurs while tc_cv is incrementing, tc_cv then decrements. if a trigger is received while tc_cv is decrementing, tc_cv then increments. see figure 36-14 . rc compare can stop the counter clock (cpcstop = 1) and/or disable the counter clock (cpcdis = 1). time counter value r c r b r a tiob tioa counter decremented by compare match with 0xffff 0xffff waveform examples time counter value tiob tioa counter decremented by compare match with 0xffff 0xffff waveform examples counter decremented by trigger counter incremented by trigger r c r b r a
549 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 36-13. wavsel = 11 without trigger figure 36-14. wavsel = 11 with trigger time counter value r c r b r a tiob tioa counter decremented by compare match with rc 0xffff waveform examples time counter value tiob tioa counter decremented by compare match with rc 0xffff waveform examples counter decremented by trigger counter incremented by trigger r c r b r a
550 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.5.12 external event/trigger conditions an external event can be programmed to be detected on one of the clock sources (xc0, xc1, xc2) or tiob. the external event selected can then be used as a trigger. the eevt parameter in tc_cmr selects the external tr igger. the eevtedg parameter defines the trigger edge for each of the possible external triggers (ris ing, falling or both). if eevtedg is cleared (none), no external event is defined. if tiob is defined as an external event signal (eevt = 0), tiob is no longer used as an output and the compare register b is not used to generate waveforms and subsequently no irqs. in this case the tc channel can only generate a waveform on tioa. when an external event is defined, it can be used as a trigger by setting bit enetrg in tc_cmr. as in capture mode, the sync signal and the softw are trigger are also available as triggers. rc compare can also be used as a trigger depending on the parameter wavsel. 36.5.13 output controller the output controller defines the output level changes on tioa and tiob following an event. tiob control is used only if tiob is defin ed as output (not as an external event). the following events control tioa and tiob: software trigger, external event and rc compare. ra compare controls tioa and rb compare controls tiob. each of these events can be pro- grammed to set, clear or toggle the output as defined in the corresponding parameter in tc_cmr.
551 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6 timer counter (tc) user interface tc_bcr (block control register) and tc_bmr (block mode register) control the whole tc block. tc channels are controlled by the registers listed in table 36-5 . the offset of each of the channel registers in table 36-5 is in relation to the offset of the corresponding channel as men- tioned in table 36-5 . notes: 1. read-only if wave = 0 table 36-4. tc global memory map offset channel/register name access reset value 0x00 tc channel 0 see table 36-5 0x40 tc channel 1 see table 36-5 0x80 tc channel 2 see table 36-5 0xc0 tc block control register tc_bcr write-only ? 0xc4 tc block mode register tc_bmr read/write 0 table 36-5. tc channel memory map offset register name access reset value 0x00 channel control register tc_ccr write-only ? 0x04 channel mode register tc_cmr read/write 0 0x08 reserved ? 0x0c reserved ? 0x10 counter value tc_cv read-only 0 0x14 register a tc_ra read/write (1) 0 0x18 register b tc_rb read/write (1) 0 0x1c register c tc_rc read/write 0 0x20 status register tc_sr read-only 0 0x24 interrupt enable register tc_ier write-only ? 0x28 interrupt disable register tc_idr write-only ? 0x2c interrupt mask register tc_imr read-only 0 0xfc reserved ? ? ?
552 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.1 tc block control register register name: tc_bcr access type: write-only ? sync: synchro command 0 = no effect. 1 = asserts the sync signal which generates a software trigger simultaneously for each of the channels. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????sync
553 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.2 tc block mode register register name: tc_bmr access type: read/write ? tc0xc0s: external clock signal 0 selection ? tc1xc1s: external clock signal 1 selection ? tc2xc2s: external clock signal 2 selection 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? tc2xc2s tcxc1s tc0xc0s tc0xc0s signal connected to xc0 00tclk0 0 1 none 10tioa1 11tioa2 tc1xc1s signal connected to xc1 00tclk1 0 1 none 10tioa0 11tioa2 tc2xc2s signal connected to xc2 00tclk2 0 1 none 10tioa0 11tioa1
554 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.3 tc channel control register register name: tc_ccr access type: write-only ? clken: counter clock enable command 0 = no effect. 1 = enables the clock if clkdis is not 1. ? clkdis: counter clock disable command 0 = no effect. 1 = disables the clock. ? swtrg: software trigger command 0 = no effect. 1 = a software trigger is performed: the counter is reset and the clock is started. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????swtrgclkdisclken
555 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.4 tc channel mode register: capture mode register name: tc_cmr access type: read/write ? tcclks: clock selection ? clki: clock invert 0 = counter is incremented on rising edge of the clock. 1 = counter is incremented on falling edge of the clock. ? burst: burst signal selection ? ldbstop: counter clock stopped with rb loading 0 = counter clock is not stopped when rb loading occurs. 1 = counter clock is stopped when rb loading occurs. ? ldbdis: counter clock disable with rb loading 0 = counter clock is not disabl ed when rb loading occurs. 1 = counter clock is disabled when rb loading occurs. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? ? ? ldrb ldra 15 14 13 12 11 10 9 8 wave = 0 cpctrg ? ? ? abetrg etrgedg 76543210 ldbdis ldbstop burst clki tcclks tcclks clock selected 0 0 0 timer_clock1 0 0 1 timer_clock2 0 1 0 timer_clock3 0 1 1 timer_clock4 1 0 0 timer_clock5 101xc0 110xc1 111xc2 burst 0 0 the clock is not gated by an external signal. 0 1 xc0 is anded with the selected clock. 1 0 xc1 is anded with the selected clock. 1 1 xc2 is anded with the selected clock.
556 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? etrgedg: external trigger edge selection ? abetrg: tioa or tiob external trigger selection 0 = tiob is used as an external trigger. 1 = tioa is used as an external trigger. ? cpctrg: rc compare trigger enable 0 = rc compare has no effect on the counter and its clock. 1 = rc compare resets the counter and starts the counter clock. ?wave 0 = capture mode is enabled. 1 = capture mode is disabled (waveform mode is enabled). ? ldra: ra loading selection ? ldrb: rb loading selection etrgedg edge 0 0 none 0 1 rising edge 1 0 falling edge 1 1 each edge ldra edge 0 0 none 0 1 rising edge of tioa 1 0 falling edge of tioa 1 1 each edge of tioa ldrb edge 0 0 none 0 1 rising edge of tioa 1 0 falling edge of tioa 1 1 each edge of tioa
557 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.5 tc channel mode register: waveform mode register name: tc_cmr access type: read/write ? tcclks: clock selection ? clki: clock invert 0 = counter is incremented on rising edge of the clock. 1 = counter is incremented on falling edge of the clock. ? burst: burst signal selection ? cpcstop: counter clock stopped with rc compare 0 = counter clock is not stopped when counter reaches rc. 1 = counter clock is stopped when counter reaches rc. ? cpcdis: counter clock disable with rc compare 0 = counter clock is not disabl ed when counter reaches rc. 1 = counter clock is disabled when counter reaches rc. 31 30 29 28 27 26 25 24 bswtrg beevt bcpc bcpb 23 22 21 20 19 18 17 16 aswtrg aeevt acpc acpa 15 14 13 12 11 10 9 8 wave = 1 wavsel enetrg eevt eevtedg 76543210 cpcdis cpcstop burst clki tcclks tcclks clock selected 0 0 0 timer_clock1 0 0 1 timer_clock2 0 1 0 timer_clock3 0 1 1 timer_clock4 1 0 0 timer_clock5 101xc0 110xc1 111xc2 burst 0 0 the clock is not gated by an external signal. 0 1 xc0 is anded with the selected clock. 1 0 xc1 is anded with the selected clock. 1 1 xc2 is anded with the selected clock.
558 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? eevtedg: external ev ent edge selection ? eevt: external event selection note: 1. if tiob is chosen as the external event signal, it is configured as an input and no longer generates waveforms and subse- quently no irqs . ? enetrg: external event trigger enable 0 = the external event has no effect on the counter and its clock. in this case, the selected external event only controls the tioa output. 1 = the external event resets the counter and starts the counter clock. ? wavsel: waveform selection ? wave = 1 0 = waveform mode is disabled (capture mode is enabled). 1 = waveform mode is enabled. ? acpa: ra compare effect on tioa eevtedg edge 0 0 none 0 1 rising edge 1 0 falling edge 1 1 each edge eevt signal selected as exte rnal event tiob direction 0 0 tiob input (1) 0 1 xc0 output 1 0 xc1 output 1 1 xc2 output wavsel effect 0 0 up mode without automatic trigger on rc compare 1 0 up mode with automatic trigger on rc compare 0 1 updown mode without automat ic trigger on rc compare 1 1 updown mode with automatic trigger on rc compare acpa effect 0 0 none 0 1 set 1 0 clear 1 1 toggle
559 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? acpc: rc compare effect on tioa ? aeevt: external event effect on tioa ? aswtrg: software trigger effect on tioa ? bcpb: rb compare effect on tiob ? bcpc: rc compare effect on tiob acpc effect 0 0 none 0 1 set 1 0 clear 1 1 toggle aeevt effect 0 0 none 0 1 set 1 0 clear 11toggle aswtrg effect 0 0 none 0 1 set 1 0 clear 1 1 toggle bcpb effect 0 0 none 0 1 set 1 0 clear 11toggle bcpc effect 0 0 none 0 1 set 1 0 clear 1 1 toggle
560 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? beevt: external event effect on tiob ? bswtrg: software trigger effect on tiob beevt effect 0 0 none 0 1 set 1 0 clear 1 1 toggle bswtrg effect 0 0 none 01set 1 0 clear 11toggle
561 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.6 tc counter value register register name: tc_cv access type: read-only ? cv: counter value cv contains the counter value in real time. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cv 76543210 cv
562 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.7 tc register a register name: tc_ra access type: read-only if wave = 0, read/write if wave = 1 ? ra: register a ra contains the register a value in real time. 36.6.8 tc register b register name: tc_rb access type: read-only if wave = 0, read/write if wave = 1 ? rb: register b rb contains the register b value in real time. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ra 76543210 ra 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rb 76543210 rb
563 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.9 tc register c register name: tc_rc access type: read/write ? rc: register c rc contains the register c value in real time. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rc 76543210 rc
564 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.10 tc status register register name: tc_sr access type: read-only ? covfs: counter overflow status 0 = no counter overflow has occurred since the last read of the status register. 1 = a counter overflow has occurred since the last read of the status register. ? lovrs: load overrun status 0 = load overrun has not occurred since the last read of the status register or wave = 1. 1 = ra or rb have been loaded at least twice without any read of the corresponding register since the last read of the sta- tus register, if wave = 0. ? cpas: ra compare status 0 = ra compare has not occurred since the last read of the status register or wave = 0. 1 = ra compare has occurred since the last read of the status register, if wave = 1. ? cpbs: rb compare status 0 = rb compare has not occurred since the last read of the status register or wave = 0. 1 = rb compare has occurred since the last read of the status register, if wave = 1. ? cpcs: rc compare status 0 = rc compare has not occurred since the last read of the status register. 1 = rc compare has occurred since the last read of the status register. ? ldras: ra loading status 0 = ra load has not occurred si nce the last read of the status register or wave = 1. 1 = ra load has occurred since the last re ad of the status register, if wave = 0. ? ldrbs: rb loading status 0 = rb load has not occurred si nce the last read of the status register or wave = 1. 1 = rb load has occurred since the last re ad of the status register, if wave = 0. ? etrgs: external trigger status 0 = external trigger has not occurred since the last read of the status register. 1 = external trigger has occurred since the last read of the status register. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?????mtiobmtioaclksta 15 14 13 12 11 10 9 8 ???????? 76543210 etrgs ldrbs ldras cpcs cpbs cpas lovrs covfs
565 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? clksta: clock enabling status 0 = clock is disabled. 1 = clock is enabled. ? mtioa: tioa mirror 0 = tioa is low. if wave = 0, this mean s that tioa pin is low. if wave = 1, this means that tioa is driven low. 1 = tioa is high. if wave = 0, this mean s that tioa pin is high. if wave = 1, this means that ti oa is driven high. ? mtiob: tiob mirror 0 = tiob is low. if wave = 0, this mean s that tiob pin is low. if wave = 1, this means that tiob is driven low. 1 = tiob is high. if wave = 0, this mean s that tiob pin is high. if wave = 1, this means that ti ob is driven high.
566 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.11 tc interrupt enable register register name: tc_ier access type: write-only ? covfs: counter overflow 0 = no effect. 1 = enables the counter overflow interrupt. ? lovrs: load overrun 0 = no effect. 1 = enables the load overrun interrupt. ? cpas: ra compare 0 = no effect. 1 = enables the ra compare interrupt. ? cpbs: rb compare 0 = no effect. 1 = enables the rb compare interrupt. ? cpcs: rc compare 0 = no effect. 1 = enables the rc compare interrupt. ? ldras: ra loading 0 = no effect. 1 = enables the ra load interrupt. ? ldrbs: rb loading 0 = no effect. 1 = enables the rb load interrupt. ? etrgs: external trigger 0 = no effect. 1 = enables the external trigger interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 etrgs ldrbs ldras cpcs cpbs cpas lovrs covfs
567 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.12 tc interrupt disable register register name: tc_idr access type: write-only ? covfs: counter overflow 0 = no effect. 1 = disables the counter overflow interrupt. ? lovrs: load overrun 0 = no effect. 1 = disables the load overru n interrupt (if wave = 0). ? cpas: ra compare 0 = no effect. 1 = disables the ra compare interrupt (if wave = 1). ? cpbs: rb compare 0 = no effect. 1 = disables the rb compare interrupt (if wave = 1). ? cpcs: rc compare 0 = no effect. 1 = disables the rc compare interrupt. ? ldras: ra loading 0 = no effect. 1 = disables the ra load interrupt (if wave = 0). ? ldrbs: rb loading 0 = no effect. 1 = disables the rb load interrupt (if wave = 0). ? etrgs: external trigger 0 = no effect. 1 = disables the external trigger interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 etrgs ldrbs ldras cpcs cpbs cpas lovrs covfs
568 6289d?atarm?3-oct-11 at91sam9r64/rl64 36.6.13 tc interrupt mask register register name: tc_imr access type: read-only ? covfs: counter overflow 0 = the counter overflow interrupt is disabled. 1 = the counter overflow interrupt is enabled. ? lovrs: load overrun 0 = the load overrun interrupt is disabled. 1 = the load overrun interrupt is enabled. ? cpas: ra compare 0 = the ra compare interrupt is disabled. 1 = the ra compare interrupt is enabled. ? cpbs: rb compare 0 = the rb compare interrupt is disabled. 1 = the rb compare interrupt is enabled. ? cpcs: rc compare 0 = the rc compare interrupt is disabled. 1 = the rc compare interrupt is enabled. ? ldras: ra loading 0 = the load ra interrupt is disabled. 1 = the load ra interrupt is enabled. ? ldrbs: rb loading 0 = the load rb interrupt is disabled. 1 = the load rb interrupt is enabled. ? etrgs: external trigger 0 = the external trigger interrupt is disabled. 1 = the external trigger interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 etrgs ldrbs ldras cpcs cpbs cpas lovrs covfs
569 6289d?atarm?3-oct-11 at91sam9r64/rl64 37. dma controller (dmac) 37.1 description the dma controller (dmac) is an ahb-central dma controller core that transfers data from a source peripheral to a destination peripheral over one or more amba buses. one channel is required for each source/destination pair. in the most basic configuration, the dmac has one master interface and one channel. the master interface reads the data from a source and writes it to a destination. two amba transfers are required for each dmac data transfer. this is also known as a dual-access transfer. the dmac is programmed via the apb interface.
570 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.2 block diagram figure 37-1. dma controller (dmac) block diagram dma destination dma channel 0 dma destination control state machine destination pointer management dma source control state machine source pointer management dma fifo controller dma fifo up to 64 bytes dma channel 0 read data path from source dma channel 0 write data path to destination dma channel 1 dma channel 2 dma channel n dma interrupt controller status registers configuration registers atmel apb rev2 interface dma ahb lite master interface 0 dma ahb lite master interface 1 dma global control and data mux dma global request arbiter dma global control and data mux dma global request arbiter dma destination requests pool dma write datapath bundles dma source requests pool dma read datapath bundles dma atmel apb interface dma interrupt amba ahb layer 0 amba ahb layer 1
571 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.3 functional description 37.3.1 basic definitions source peripheral: device on an amba layer from where the dmac reads data, which is then stored in the channel fifo. the source peripheral teams up with a destination peripheral to form a channel. destination peripheral: device to which the dmac writes the stored data from the fifo (previ- ously read from the source peripheral). memory: source or destination that is always ?ready? for a dmac transfer and does not require a handshaking interface to interact with the dmac. channel: read/write datapath between a source peripheral on one configured amba layer and a destination peripheral on the same or different amba layer that occurs through the channel fifo. master interface: dmac is a master on the ahb bus reading data from the source and writing it to the destination over the ahb bus. slave interface: the apb interface over which the dmac is programmed. the slave interface in practice could be on the same layer as any of the master interfaces or on a separate layer. figure 37-2. dmac transfer hierarchy for memory buffer: a buffer of dmac data. the amount of data (length) is determined by the flow controller. for transfers between the dmac and memory, a buffer is broken directly into a sequence of amba bursts and amba single transfers. dmac transfer: software controls the number of buffers in a dmac transfer. once the dmac transfer has completed, then hardware within the dmac disables the channel and can generate an interrupt to signal the completion of the dmac transfer. you can then re-program the channel for a new dmac transfer. single-buffer dmac transfer: consists of a single buffer. multi-buffer dmac transfer: a dmac transfer may consist of multiple dmac buffers. multi-buf- fer dmac transfers are supported through buffer chaining (linked list pointers), auto-reloading of channel registers, and contiguous buffers. the source and destination can independently select which method to use. hdma transfer dma transfer level buffer buffer buffer buffer transfer level burst transfer amba burst transfer amba burst transfer amba single transfer amba amba transfer level
572 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? linked lists (buffer chaining) ? a descriptor pointer (dscr) points to the location in system memory where the next linked list item (lli) exists. the lli is a set of registers that describe the next buffer (buffer descriptor) and a descriptor pointer register. the dmac fetches the lli at the beginning of every buffer when buffer chaining is enabled. ? replay ? the dmac automatically reloads the channel registers at the end of each buffers to the value when the channel was first enabled. ? contiguous buffers ? where the address of the next buffer is selected to be a continuation from the end of the previous buffer. picture-in-picture mode: dmac contains a picture-in-picture mode support. when this mode is enabled, addresses are automatically incremented by a programmable value when the dmac channel transfer count reaches a user defined boundary. figure 37-3 on page 572 illustrates a memory mapped image 4:2:2 encoded located at image_base_address in memory. a user defined start address is defined at picture_start_address. the incremented value is set to memory_hole_size = image_width - picture_width, and the boundary is set to picture_width. figure 37-3. picture-in-picture mode support bus locking: software can program a channel to maintain control of the amba bus by asserting hmastlock for the duration of a dmac transfer. channel locking is asserted for the duration of bus locking at a minimum. 37.3.2 memory peripherals figure 37-2 on page 571 shows the dmac transfer hierarchy of the dmac for a memory periph- eral. there is no handshaking interface with the dmac, and therefore the memory peripheral can never be a flow controller. once the channel is enabled, the transfer proceeds immediately without waiting for a transaction request. the alternative to not having a transaction-level hand-
573 6289d?atarm?3-oct-11 at91sam9r64/rl64 shaking interface is to allow the dmac to at tempt amba transfers to the peripheral once the channel is enabled. if the peripheral slave cannot accept these amba transfers, it inserts wait states onto the bus until it is ready; it is not recommended that more than 16 wait states be inserted onto the bus. 37.3.3 dmac transfer types a dmac transfer may consist of single or multi-buffers transfers. on successive buffers of a multi-buffer transfer, the dmac_saddrx/dmac_daddrx registers in the dmac are repro- grammed using either of the following methods: ? buffer chaining using linked lists ? replay mode ? contiguous address between buffers on successive buffers of a multi-buffer transfer, the dmac_ctrlax and dmac_ctrlbx regis- ters in the dmac are re-programmed using either of the following methods: ? buffer chaining using linked lists ? replay mode when buffer chaining, using linked lists is the multi-buffer method of choice, and on successive buffers, the dmac_dscrx register in the dmac is re-programmed using the following method: ? buffer chaining using linked lists a buffer descriptor (lli) consists of foll owing registers, dmac_saddrx, dmac_daddrx, dmac_dscrx, dmac_ctrlax, dmac_ctrlb x.these registers, along with the dmac_cfgx register, are used by the dmac to set up and describe the buffer transfer. 37.3.3.1 multi-buffer transfers 37.3.3.2 buffer chaining using linked lists in this case, the dmac re-programs the channel registers prior to the start of each buffer by fetching the buffer descriptor for that buffer from system memory. this is known as an lli update. dmac buffer chaining is supported by using a descriptor pointer register (dmac_dscrx) that stores the address in memory of the next buffer descriptor. each buffer descriptor contains the corresponding buffer descriptor (dma c_saddrx, dmac_daddrx, dmac_dscrx, dmac_ctrlax dmac_ctrlbx). to set up buffer chaining, a sequence of linked lists must be programmed in memory. the dmac_saddrx, dmac_daddrx, dmac _dscrx, dmac_ctrlax and dmac_ctrlbx registers are fetched from system memory on an lli update. the u pdated content of the dmac_ctrlax register is written back to memory on buffer completion. figure 37-4 on page 574 shows how to use chained linked lists in memory to define multi-buffer transfers using buffer chaining. the linked list multi-buffer transfer is init iated by programming dmac_dscrx with dscrx(0) (lli(0) base address) and dmac_ctrlbx regist er with both src_dscr and dst_dscr set to 0. other fields and registers are ignored and overwritten when the descriptor is retrieved from memory. the last transfer descriptor must be written to memory with its next descriptor address set to 0.
574 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-4. multi buffer transfer using linked list system memory saddrx = dscrx(0) + 0x0 daddrx = dscrx(0) + 0x4 ctrlax = dscrx(0) + 0x8 ctrlbx = dscrx(0) + 0xc dscrx(1) = dscrx(0) + 0x10 saddrx = dscrx(1) + 0x0 daddrx = dscrx(1) + 0x4 ctrlbx = dscrx(1) + 0x8 ctrlbx = dscrx(1) + 0xc dscrx(2) = dscrx(1) + 0x10 dscrx(0) dscrx(2) (points to 0 if lli(1) is the last transfer descriptor dscrx(1) lli(0) lli(1)
575 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.3.3.3 programming dmac for multiple buffer transfers notes: 1. usr means that the register field is manually programmed by the user. 2. cont means that address are contiguous. 3. rep means that the register field is updated with its previous value. if the transfer is the first one, then the user must ma nu- ally program the value. 4. channel stalled is true if the re levant btc interrupt is not masked. 5. lli means that the register field is updated with the content of the linked list item. 37.3.3.4 replay mode of channel registers during automatic replay mode, the channel registers are reloaded with their initial values at the completion of each buffer and the new values used for the new buffer. depending on the row number in table 37-1 on page 575 , some or all of the dmac_saddrx, dmac_daddrx, dmac_ctrlax and dmac_ctrlbx channel register s are reloaded from their initial value at the start of a buffer transfer. 37.3.3.5 contiguous address between buffers in this case, the address between successive buffers is selected to be a continuation from the end of the previous buffer. enabling the source or destination address to be contiguous between table 37-1. multiple buffers transfer management table transfer type auto src_rep dst_rep src_dscr dst_dscr btsize saddr daddr other fields 1) single buffer or last buffer of a multiple buffer transfer 0 ? ? 1 1 usr usr usr usr 2) multi buffer transfer with contiguous daddr 0 ? 0 0 1 lli lli cont lli 3) multi buffer transfer with contiguous saddr 0 0 ? 1 0 lli cont lli lli 4) multi buffer transfer with lli support 0 ? ? 0 0 lli lli lli lli 5) multi buffer transfer with daddr reloaded 0 ? 1 0 1 lli lli rep lli 6) multi buffer transfer with saddr reloaded 0 1 ? 1 0 lli rep lli lli 7) multi buffer transfer with btsize reloaded and contiguous daddr 1 ? 0 0 1 rep lli cont lli 8) multi buffer transfer with btsize reloaded and contiguous saddr 1 0 ? 1 0 rep cont lli lli 9) automatic mode channel is stalling btsize is reloaded 1 0 0 1 1 rep cont cont rep 10) automatic mode btsize, saddr and daddr reloaded 1 1 1 1 1 rep rep rep rep 11) automatic mode btsize, saddr reloaded and daddr contiguous 1 1 0 1 1 rep rep cont rep
576 6289d?atarm?3-oct-11 at91sam9r64/rl64 buffers is a function of dmac_ctr lax.src_dscr, dmac_cfgx.src_rep, dmac_ctrlax.dst_dscr and dmac_cfgx.dst_rep registers. 37.3.3.6 suspension of transfers between buffers at the end of every buffer transfer, an end of buffer interrupt is asserted if: ? the channel buffer interrupt is unmasked, dmac_ebcimr.btc[n] = ?1?, where n is the channel number. note: the buffer complete interrupt is generated at the completion of the buffer transfer to the destination. at the end of a chain of multiple buffers, an end of linked list interrupt is asserted if: ? the channel end of chained buffer interrupt is unmasked, dmac_ebcimr.cbtc[n] = ?1?, when n is the channel number. 37.3.3.7 ending multi-buffer transfers all multi-buffer transfers must end as shown in row 1 of table 37-1 on page 575 . at the end of every buffer transfer, the dmac samples the row number, and if the dmac is in row 1 state, then the previous buffer transferred was the last buffer and the dmac transfer is terminated. for rows 9, 10 and 11 of table 37-1 on page 575 , (dmac_dscrx = 0 and dmac_ctrlbx.auto is set), mult i-buffer dmac transfers continue until the automatic mode is disabled by writing a ?1? in dm ac_ctrlbx.auto bit. this bit should be programmed to zero in the end of buffer interrupt service routine that services the next-to-last buffer transfer. this puts the dmac into row 1 state. for rows 2, 3, 4, 5, and 6 (dmac_crtlbx.auto cleared) the user must setup the last buffer descriptor in memory such that both lli.dmac_ctrlbx.src_dscr and lli.dmac_ctrlbx.dst_dscr are one and lli.dmac_dscrx is set to 0.
577 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.3.4 programming a channel four registers, the dmac_dscrx, the dmac_ctrlax, the dmac_ctrlbx and dmac_cfgx, need to be programmed to set up whether single or multi-buffer transfers take place, and which type of multi-buffer transfer is used. the different transfer types are shown in table 37-1 on page 575 . the ?btsize, saddr and daddr? columns in dicate where the values of dmac_sarx, dmac_darx, dmac_ctlx, and dmac_llpx are obtained for the next buffer transfer when multi-buffer dmac transfers are enabled. 37.3.4.1 programming examples 37.3.4.2 single-buffer transfer (row 1) 1. read the channel handler status regist er dmac_chsr.enable field to choose a free (disabled) channel. 2. clear any pending interrupts on the channel from the previous dmac transfer by read- ing the interrupt status register, dmac_ebcisr. 3. program the following channel registers: a. write the starting source address in the dmac_saddrx register for channel x. b. write the starting destination address in the dmac_daddrx register for channel x. c. program dmac_ctrlax, dmac_ctrlbx and dmac_cfgx according to row 1 as shown in table 37-1 on page 575 . program the dmac_ctrlbx register with both dst_dscr and src_dscr fields se t to one and auto field set to 0. d. write the control information for the dmac transfer in the dmac_ctrlax and dmac_ctrlbx registers for channel x. for example, in the register, you can pro- gram the following: ? set up the transfer characteristics, such as: ? transfer width for the source in the src_width field. ? transfer width for the desti nation in the dst_width field. ? source ahb master interface layer in the sif field where source resides. ? destination ahb master interface layer in the dif field where destination resides. ? incrementing/decrementing or fixed address for source in src_inc field. ? incrementing/decrementing or fixed address for destination in dst_inc field. e. write the channel configuration information into the dmac_cfgx register for chan- nel x. f. if source picture-in-picture mode is enabled (dmac_ctrlbx.src_pip is enabled), program the dmac_spipx register for channel x. g. if destination picture-in-picture mode is enabled (dmac_ctrlbx.dst_pip is enabled), program the dmac_dpipx register for channel x. 4. after the dmac selected channel has been programmed, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit, where n is the channel number. make sure that bit 0 of dmac_en.enable register is enabled. 5. once the transfer completes, hardware sets the interrupts and disables the channel. at this time you can either respond to the buffer complete or transfer complete interrupts, or poll for the channel handler status regist er (dmac_chsr.enable[n]) bit until it is cleared by hardware, to detect when the transfer is complete.
578 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.3.4.3 multi-buffer transfer with linked list for source and linked list for destination (row 4) 1. read the channel enable register to choose a free (disabled) channel. 2. set up the chain of linked list items (otherwise known as buffer descriptors) in mem- ory. write the control information in the lli.dmac_ctrlax and lli.dmac_ctrlbx registers location of the buffer descriptor for each lli in memory (see figure 37-5 on page 579 ) for channel x. for example, in the register, you can program the following: h. set up the transfer characteristics, such as: ? i. transfer width for the source in the src_width field. ? ii. transfer width for the dest ination in the dst_width field. ? iii. source ahb master in terface layer in the sif field where source resides. ? iv. destination ahb master interface layer in the dif field where destination resides. ? v. incrementing/decrementing or fixed address for source in src_incr field. ? vi. incrementing/decrement ing or fixed address for destination dst_incr field. 3. write the channel configuration information into the dmac_cfgx register for channel x. 4. make sure that the lli.dmac_ctrlbx register locations of all lli entries in memory (except the last) are set as shown in row 4 of table 37-1 on page 575 . the lli.dmac_ctrlbx register of the last linked list item must be set as described in row 1 of table 37-1 . figure 37-4 on page 574 shows a linked list example with two list items. 5. make sure that the lli.dmac_dscrx register locations of all lli entries in memory (except the last) are non-zero and point to the base address of the next linked list item. 6. make sure that the lli.dmac_saddrx/lli. dmac_daddrx register locations of all lli entries in memory point to the start source/destination buffer address preceding that lli fetch. 7. make sure that the lli.dmac_ctrlax.done field of the lli.dmac_ctrlax register locations of all lli entries in memory are cleared. 8. if source picture-picture mode is enabled (dmac_ctrlbx.src_pip is enabled), pro- gram the dmac_spipx register for channel x. 9. if destination picture-in-picture is enabled (dmac_ctrlbx.dst_pip is enabled), pro- gram the dmac_dpipx register for channel x. 10. clear any pending interrupts on the channel from the previous dmac transfer by read- ing the status register: dmac_ebcisr. 11. program the dmac_ctrlbx, dmac_cfgx registers according to row 4 as shown in table 37-1 on page 575 . 12. program the dmac_dscrx register with dmac_dscrx(0), the pointer to the first linked list item. 13. finally, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit, where n is the channel number. the transfer is performed. 14. the dmac fetches the first lli from the location pointed to by dmac_dscrx(0). note: the lli.dmac_saddrx, lli. dmac_daddrx, lli.dmac_dscrx, lli.dmac_ctrlax and lli.dmac_ctrlbx registers are fetched. the dmac automatically reprograms the dmac_saddrx, dmac_daddrx, dmac_dscrx, dmac_ctrlbx and dmac_ctrlax chan- nel registers from the dmac_dscrx(0). 15. once the buffer of data is transferred, the dmac_ctrlax register is written out to sys- tem memory at the same location and on the same layer (dmac_dscrx.dscr_if) where it was originally fetched, that is, the location of the dmac_ctrlax register of
579 6289d?atarm?3-oct-11 at91sam9r64/rl64 the linked list item fetched prior to the start of the buffer transfer. only dmac_ctrlax register is written out because only the dmac_ctrlax.btsize and dmac_ctrlax.done bits have been updated by dmac hardware. additionally, the dmac_ctrlax.done bit is asserted when the buffer transfer has completed. note: do not poll the dmac_ctrlax.done bit in the dmac memory map. instead, poll the lli.dmac_ctrlax.done bit in the lli for that buffer. if the poll lli.dmac_ctrlax.done bit is asserted, then this buffer transfer has complet ed. this lli.dmac_ctrlax.done bit was cleared at the start of the transfer. 16. the dmac does not wait for the buffer interrupt to be cleared, but continues fetching the next lli from the memory location pointed to by current dmac_dscrx register and automatically reprograms the dmac_saddrx, dmac_daddrx, dmac_dscrx, dmac_ctrlax and dmac_ctrlbx channel registers. the dmac transfer continues until the dmac determines that the dmac_ctrlbx and dmac_dscrx registers at the end of a buffer transfer match described in row 1 of table 37-1 on page 575 . the dmac then knows that the previous buffer transferred was the last buffer in the dmac transfer. the dmac transfer might look like that shown in figure 37-5 on page 579 . figure 37-5. multi-buffer with linked list address for source and destination if the user needs to execute a dmac transfer where the source and destination address are contiguous but the amount of data to be transferred is greater than the maximum buffer size dmac_ctrlax.btsize, then this can be achieved using the type of multi-buffer transfer as shown in figure 37-6 on page 580 . saddr(2) saddr(1) saddr(0) daddr(2) daddr(1) daddr(0) buffer 2 buffer 1 buffer 0 buffer 0 buffer 1 buffer 2 address of source layer address of destination layer source buffers destination buffers
580 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-6. multi-buffer with linked address for source and destination buffers are contiguous the dmac transfer flow is shown in figure 37-7 on page 581 . saddr(2) saddr(1) saddr(0) daddr(2) daddr(1) daddr(0) buffer 2 buffer 1 buffer 0 buffer 0 buffer 1 buffer 2 address of source layer address of destination layer source buffers destination buffers saddr(3) buffer 2 daddr(3) buffer 2
581 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-7. dmac transfer flow for source and destination linked list address 37.3.4.4 multi-buffer transfer with source address auto-reloaded and destination address auto-reloaded (row 10) 1. read the channel enable register to choose an available (disabled) channel. 2. clear any pending interrupts on the channel from the previous dmac transfer by read- ing the interrupt status register. program the following channel registers: channel enabled by software lli fetch hardware reprograms saddrx, daddrx, ctrla/bx, dscrx dmac buffer transfer writeback of hdma_ctrlax register in system memory is hdma in row1 of hdma state machine table? channel disabled by hardware buffer complete interrupt generated here hdma transfer complete interrupt generated here yes no
582 6289d?atarm?3-oct-11 at91sam9r64/rl64 a. write the starting source address in the dmac_saddrx register for channel x. b. write the starting destination address in the dmac_daddrx register for channel x. c. program dmac_ctrlax, dmac_ctrlbx and dmac_cfgx according to row 10 as shown in table 37-1 on page 575 . program the dmac_dscrx register with ?0?. d. write the control information for the dmac transfer in the dmac_ctrlax and dmac_ctrlbx register for channel x. for example, in the register, you can pro- gram the following: ? set up the transfer characteristics, such as: ? transfer width for the source in the src_width field. ? transfer width for the desti nation in the dst_width field. ? source ahb master interface layer in the sif field where source resides. ? destination ahb master interface layer in the dif field where destination resides. ? incrementing/decrementing or fixed address for source in src_incr field. ? incrementing/decrementing or fixed address for destination in dst_incr field. e. if source picture-in-picture mode is enabled (dmac_ctrlbx.spip is enabled), program the dmac_spipx register for channel x. f. if destination picture-in-picture is enabled (dmac_ctrlbx.dpip), program the dmac_dpipx register for channel x. g. write the channel configuration information into the dmac_cfgx register for chan- nel x. ensure that the relo ad bits, dmac_cfgx.src_rep, dmac_cfgx.dst_rep and dmac_ctrlbx.auto are enabled. 3. after the dmac selected channel has been programmed, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit where is the channel number. make sure that bit 0 of the dmac_en register is enabled. 4. when the buffer transfer has completed, the dmac reloads the dmac_saddrx, dmac_daddrx and dmac_ctrlax registers. hardware sets the buffer complete interrupt. the dmac then samples the row number as shown in table 37-1 on page 575 . if the dmac is in row 1, then the dmac transfer has completed. hardware sets the transfer complete interrupt and disables the channel. so you can either respond to the buffer complete or chained buffer transfer complete interrupts, or poll for the channel enable in the channel status register (dmac_chsr.enable[n]) until it is disabled, to detect when the transfer is complete. if the dmac is not in row 1, the next step is performed. 5. the dmac transfer proceeds as follows: a. if interrupts is un-masked (dmac_ebcimr.btc[x] = ?1?, where x is the channel number) hardware sets the buffer complete interrupt when the buffer transfer has completed. it then stalls until the stalled[n] bit of dmac_chsr register is cleared by software, writing ?1? to dmac_cher.keepon[n] bit where n is the channel number. if the next buffer is to be the last buffer in the dmac transfer, then the buffer complete isr (interrupt service routine) should clear the automatic mode bit in the dmac_ctrlbx.auto bit. this put the dmac into row 1 as shown in table 37-1 on page 575 . if the next buffer is not the last buffer in the dmac trans- fer, then the reload bits should rema in enabled to keep the dmac in row 4. b. if the buffer complete interrupt is mask ed (dmac_ebcimr.btc[x] = ?1?, where x is the channel number), then hardware does not stall until it detects a write to the buf- fer complete interrupt enable register dmac_ebcier register but starts the next buffer transfer immediately. in this case software must clear the automatic mode bit
583 6289d?atarm?3-oct-11 at91sam9r64/rl64 in the dmac_ctrlb to put the dmac into row 1 of table 37-1 on page 575 before the last buffer of the dmac transfer has completed. the transfer is similar to that shown in figure 37-8 on page 583 . the dmac transfer flow is shown in figure 37-9 on page 584 . figure 37-8. multi-buffer dmac transfer with source and destination address auto-reloaded address of source layer address of destination layer source buffers destination buffers blockn block2 block1 block0 saddr daddr
584 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-9. dmac transfer flow for source and destination address auto-reloaded 37.3.4.5 multi-buffer transfer with source address auto-reloaded and linked list destination address (row 6) 1. read the channel enable register to choose a free (disabled) channel. 2. set up the chain of linked list items (otherwise known as buffer descriptors) in memory. write the control information in the lli.dmac_ctrlax and dmac_ctrlbx registers location of the buffer descriptor for each lli in memory for channel x. for example, in the register you can program the following: c. set up the transfer characteristics, such as: ? i. transfer width for the source in the src_width field. ? ii. transfer width for the dest ination in the dst_width field. ? iii. source ahb master in terface layer in the sif field where source resides. ? iv. destination ahb master interface layer in the dif field where destination resides. ? v. incrementing/decrementing or fixed address for source in src_incr field. ? vi. incrementing/decrement ing or fixed address for destination dst_incr field. 3. write the starting source address in the dmac_saddrx register for channel x. note: the values in the lli.dmac_saddrx register lo cations of each of the linked list items (llis) setup up in memory, although fetched during a lli fetch, are not used. channel enabled by software buffer transfer replay mode for saddrx, daddrx, ctrlax, ctrlbx channel disabled by hardware buffer complete interrupt generated here hdma transfer complete interrupt generated here yes no yes stall until stalled is cleared by writing to keepon field ebcimr[x]=1? no is hdma in row1 of hdma state machine table?
585 6289d?atarm?3-oct-11 at91sam9r64/rl64 4. write the channel configuration information into the dmac_cfgx register for channel x. 5. make sure that the lli.dmac_ctrlbx register locations of all llis in memory (except the last) are set as shown in row 6 of table 37-1 on page 575 while the lli.dmac_ctrlbx register of the last linked list item must be set as described in row 1 of table 37-1 . figure 37-4 on page 574 shows a linked list example with two list items. 6. make sure that the lli.dmac_dscrx register locations of all llis in memory (except the last) are non-zero and point to the next linked list item. 7. make sure that the lli.dmac_daddrx register location of all llis in memory point to the start destination buffer address proceeding that lli fetch. 8. make sure that the lli.dmac_ctlx.done field of the lli.dmac_ctrla register locations of all llis in memory is cleared. 9. if source picture-in-picture is enabled (dmac_ctrlbx.spip is enabled), program the dmac_spipx register for channel x. 10. if destination picture-in-p icture is enabled (dmac_ctrl bx.dpip is enabled), program the dmac_dpipx register for channel x. 11. clear any pending interrupts on the channel from the previous dmac transfer by read- ing to the dmac_ebcisr register. 12. program the dmac_ctlx, dmac_cfgx registers according to row 6 as shown in table 37-1 on page 575 . 13. program the dmac_dscrx register with dmac_dscrx(0), the pointer to the first linked list item. 14. finally, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit where n is the channel number. the transfer is performed. make sure that bit 0 of the dmac_en register is enabled. 15. the dmac fetches the first lli from the location pointed to by dmac_dscrx(0). note: the lli.dmac_saddrx, lli.dmac_daddrx , lli. dmac_llpx lli.dmac_ctrlax and lli.dmac_ctrlbx registers are fetched. the ll i.dmac_saddrx register although fetched is not used. 16. the dmac_ctrlax register is written out to system memory. the dmac_ctrlax register is written out to the same location on the same layer (dmac_dscrx.dscr_if) where it was origina lly fetched, that is the location of the dmac_ctrlax register of the linked list item fetched prior to the start of the buffer transfer. only dmac_ctrlax register is written out, because only the dmac_ctrlax.btsize and dmac_ctrlax.done fields have been updated by hardware within the dmac. the lli.dmac_ctrlax.done bit is asserted to indicate buffer completion therefore, software can poll the lli.dmac_ctrlax.done field of the dmac_ctrlax register in the lli to ascertain when a buffer transfer has completed. note: do not poll the dmac_ctrlax.done bit in the dmac memory map. instead poll the lli.dmac_ctrlax.done bit in the lli for that buffer. if the polled lli.dmac_ctrlax.done bit is asserted, then this buffer transfer has completed. this lli.dmac_ctrla.done bit was cleared at the start of the transfer. 17. the dmac reloads the dmac_saddrx register from the initial value. hardware sets the buffer complete interrupt. the dmac samples the row number as shown in table 37-1 on page 575 . if the dmac is in row 1, then the dmac transfer has completed. hardware sets the transfer complete interrupt and disables the channel. you can either respond to the buffer complete or chained buffer transfer complete interrupts, or poll for the channel enable (dmac_chsr.enable) bit until it is cleared by hardware, to
586 6289d?atarm?3-oct-11 at91sam9r64/rl64 detect when the transfer is complete. if the dmac is not in row 1 as shown in table 37- 1 on page 575 , the following step is performed. 18. the dmac fetches the next lli from memory location pointed to by the current dmac_dscrx register, and automatically reprograms the dmac_daddrx, dmac_ctrlax, dmac_ctrlbx and dmac_dscrx channel registers. note that the dmac_saddrx is not re-programmed as the reloaded value is used for the next dmac buffer transfer. if the next buffer is the last buffer of the dmac transfer then the dmac_ctrlbx and dmac_dscrx registers just fetched from the lli should match row 1 of table 37-1 on page 575 . the dmac transfer might look like that shown in fig- ure 37-10 on page 586 . figure 37-10. multi-buffer dmac transfer with source address auto-reloaded and linked list destination address the dmac transfer flow is shown in figure 37-11 on page 587 . address of source layer address of destination layer source buffers destination buffers saddr buffer0 buffer1 buffer2 buffern daddr(n) daddr(1) daddr(0) daddr(2)
587 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-11. dmac transfer flow for replay mode at source and linked list destination address 37.3.4.6 multi-buffer transfer with source address auto-reloaded and contiguous destination address (row 11) 1. read the channel enable register to choose a free (disabled) channel. 2. clear any pending interrupts on the channel from the previous dmac transfer by read- ing to the interrupt status register. 3. program the following channel registers: a. write the starting source address in the dmac_saddrx register for channel x. b. write the starting destination address in the dmac_daddrx register for channel x. c. program dmac_ctrlax, dmac_ctrlbx and dmac_cfgx according to row 11 as shown in table 37-1 on page 575 . program the dmac_dscrx register with ?0?. dmac_ctrlbx.auto field is set to ?1? to enable automatic mode support. d. write the control information for the dmac transfer in the dmac_ctrlbx and dmac_ctrlax register for channel x. for example, in this register, you can pro- gram the following: ? set up the transfer characteristics, such as: ? transfer width for the source in the src_width field. ? transfer width for the desti nation in the dst_width field. channel enabled by software lli fetch yes no hardware reprograms daddrx, ctrlax, ctrlbx, dscrx dma buffer transfer writeback of control status information in lli reload saddrx buffer complete interrupt generated here hdma transfer complete interrupt generated here channel disabled by hardware is hdma in row1 of hdma state machine table?
588 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? source ahb master interface layer in the sif field where source resides. ? destination ahb master interface master layer in the dif field where destination resides. ? incrementing/decrementing or fixed address for source in src_incr field. ? incrementing/decrementing or fixed address for destination in dst_incr field. e. if source picture-in-picture is enabled (dmac_ctrlbx.spip is enabled), program the dmac_spipx register for channel x. f. if destination picture-in-picture is enabled (dmac_ctrlbx.dpip), program the dmac_dpipx register for channel x. g. write the channel configuration information into the dmac_cfgx register for chan- nel x. 4. after the dmac channel has been programmed, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit where n is the channel number. make sure that bit 0 of the dmac_en.enable register is enabled. 5. when the buffer transfer has completed, the dmac reloads the dmac_saddrx regis- ter. the dmac_daddrx register remains unchanged. hardware sets the buffer complete interrupt. the dmac then sa mples the row number as shown in table 37-1 on page 575 . if the dmac is in row 1, then the dmac transfer has completed. hard- ware sets the transfer complete interrupt and disables the channel. so you can either respond to the buffer complete or transfer complete interrupts, or poll for enable field in the channel status register (dmac_chsr.enable[n] bit) until it is cleared by hardware, to detect when the transfer is complete. if the dmac is not in row 1, the next step is performed. 6. the dmac transfer proceeds as follows: a. if the buffer complete interrupt is un-masked (dmac_ebcimr.btc[x] = ?1?, where x is the channel number) hardware sets the buffer complete interrupt when the buf- fer transfer has completed. it then stalls until stalled[n] bit of dmac_chsr is cleared by writing in the keepon[n] field of dmac_cher register where n is the channel number. if the next buffer is to be the last buffer in the dmac transfer, then the buffer complete isr (interrupt service routine) should clear the automatic mode bit, dmac_ctrlbx.auto. this puts the dmac into row 1 as shown in table 37- 1 on page 575 . if the next buffer is not the last buffer in the dmac transfer then the automatic transfer mode bit should remain enabled to keep the dmac in row 11 as shown in table 37-1 on page 575 . b. if the buffer complete interrupt is mask ed (dmac_ebcimr.btc[x] = ?1?, where x is the channel number) then hardware does not stall until it detects a write to the buf- fer transfer completed interrupt enable register but starts the next buffer transfer immediately. in this case software must clear the automatic mode bit, dmac_ctrlbx.auto, to put the device into row 1 of table 37-1 on page 575 before the last buffer of the dmac transfer has completed. the transfer is similar to that shown in figure 37-12 on page 589 . the dmac transfer flow is shown in figure 37-13 on page 590 .
589 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-12. multi-buffer transfer with source address auto-reloaded and contiguous destination address address of source layer address of destination layer source buffers destination buffers saddr buffer0 buffer1 buffer2 daddr(1) daddr(0) daddr(2)
590 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-13. dmac transfer replay mode is enabled for th e source and contiguous destination address 37.3.4.7 multi-buffer dmac transfer with linked list for source and contiguous destination address (row 2) 1. read the channel enable register to choose a free (disabled) channel. 2. set up the linked list in memory. wr ite the control information in the lli.dmac_ctrlax and lli.dmac_ctrlbx register location of the buffer descriptor for each lli in memory for channel x. for example, in the register, you can program the following: c. set up the transfer characteristics, such as: ? i. transfer width for the source in the src_width field. ? ii. transfer width for the dest ination in the dst_width field. ? iii. source ahb master in terface layer in the sif field where source resides. ? iv. destination ahb master interface layer in the dif field where destination resides. ? v. incrementing/decrementing or fixed address for source in src_incr field. ? vi. incrementing/decrement ing or fixed address for destination dst_incr field. channel enabled by software buffer transfer replay mode for saddrx, contiguous mode for daddrx ctrlax, ctrlbx channel disabled by hardware buffer complete interrupt generated here buffer transfer complete interrupt generated here yes no no yes stall until stalled field is cleared by software writing keepon field dma_ebcimr[x]=1? is hdma in row1of hdma state machine table?
591 6289d?atarm?3-oct-11 at91sam9r64/rl64 3. write the starting destination address in the dmac_daddrx register for channel x. note: the values in the lli.dmac_daddrx register location of each linked list item (lli) in memory, although fetched during an lli fetch, are not used. 4. write the channel configuration information into the dmac_cfgx register for channel x. 5. make sure that all lli.dmac_ctrlbx register locations of the lli (except the last) are set as shown in row 2 of table 37-1 on page 575 , while the lli.dmac_ctrlbx regis- ter of the last linked list item must be set as described in row 1 of table 37-1 . figure 37-4 on page 574 shows a linked list example with two list items. 6. make sure that the lli.dmac_dscrx register locations of all llis in memory (except the last) are non-zero and point to the next linked list item. 7. make sure that the lli.dmac_saddrx register location of all llis in memory point to the start source buffer address proceeding that lli fetch. 8. make sure that the lli.dmac_ctrlax.done field of the lli.dmac_ctrlax register locations of all llis in memory is cleared. 9. if source picture-in-picture is enabled (dmac_ctrlbx.spip is enabled), program the dmac_spipx register for channel x. 10. if destination picture-in-p icture is enabled (dmac_ctrl bx.dpip is enabled), program the dmac_dpipx register for channel x. 11. clear any pending interrupts on the channel from the previous dmac transfer by read- ing the interrupt status register. 12. program the dmac_ctrlax, dmac_ctrlbx and dmac_cfgx registers according to row 2 as shown in table 37-1 on page 575 13. program the dmac_dscrx register with dmac_dscrx(0), the pointer to the first linked list item. 14. finally, enable the channel by writing a ?1? to the dmac_cher.enable[n] bit. the transfer is performed. make sure that bit 0 of the dmac_en register is enabled. 15. the dmac fetches the first lli from the location pointed to by dmac_dscrx(0). note: the lli.dmac_saddrx, lli.dmac_daddrx , lli.dmac_dscrx and lli.dmac_ctrla/bx registers are fetched. the lli.dmac_daddrx regist er location of the lli although fetched is not used. the dmac_daddrx register in the dmac remains unchanged. 16. once the buffer of data is transferred, the dmac_ctrlax register is written out to sys- tem memory at the same location and on the same layer (dmac_dscrx.dscr_if) where it was originally fetched, that is, the location of the dmac_ctrlax register of the linked list item fetched prior to the start of the buffer transfer. only dmac_ctrlax register is written out because only the dmac_ctrlax.btsize and dmac_ctrlax.done fields have been updated by dmac hardware. additionally, the dmac_ctrlax.done bit is asserted when the buffer transfer has completed. note: do not poll the dmac_ctrlax.done bit in the dmac memory map. instead, poll the lli.dmac_ctrlax.done bit in the lli for that buffer. if the poll lli.dmac_ctrlax.done bit is asserted, then this buffer transfer has complet ed. this lli.dmac_ctrlax.done bit was cleared at the start of the transfer. 17. the dmac does not wait for the buffer interrupt to be cleared, but continues and fetches the next lli from the memory location pointed to by current dmac_dscrx register and automatically reprograms the dmac_saddrx, dmac_ctrlax, dmac_ctrlbx and dmac_dscrx channel registers. the dmac_daddrx register is left unchanged. the dmac transfer continues until the dmac samples the dmac_ctrlax, dmac_ctrlbx and dmac_dscrx registers at the end of a buffer transfer match that described in row 1 of table 37-1 on page 575 . the dmac then knows that the previous buffer transferred was the last buffer in the dmac transfer.
592 6289d?atarm?3-oct-11 at91sam9r64/rl64 the dmac transfer might look like that shown in figure 37-14 on page 592 note that the desti- nation address is decrementing. figure 37-14. dmac transfer with linked list source address and contiguous destination address the dmac transfer flow is shown in figure 37-15 on page 593 . saddr(2) saddr(1) saddr(0) daddr(2) daddr(1) daddr(0) buffer 2 buffer 1 buffer 0 buffer 0 buffer 1 buffer 2 address of source layer address of destination layer source buffers destination buffers
593 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 37-15. dmac transfer flow for linked list source address and contiguous destination address 37.3.5 disabling a channel prior to transfer completion under normal operation, software enables a ch annel by writing a ?1? to the channel handler enable register, dmac_cher.enable[n], and hardware disables a channel on transfer com- pletion by clearing the dmac_chsr.enable[n] register bit. the recommended way for software to disable a channel without losing data is to use the sus- pend[n] bit in conjunction with the empty[n] bit in the channel handler status register. channel enabled by software lli fetch hardware reprograms saddrx, ctrlax,ctrlbx, dscrx hdma buffer transfer writeback of control information of lli is hdma in row 1 ? channel disabled by hardware buffer complete interrupt generated here hdma transfer complete interrupt generated here yes no
594 6289d?atarm?3-oct-11 at91sam9r64/rl64 1. if software wishes to disable a channel n prior to the dmac transfer completion, then it can set the dmac_cher.suspend[n] bit to te ll the dmac to halt all transfers from the source peripheral. therefore, the channel fifo receives no new data. 2. software can now poll the dmac_chsr.empty[n] bit until it indicates that the channel n fifo is empty, where n is the channel number. 3. the dmac_cher.enable[n] bit can then be cleared by software once the channel n fifo is empty, where n is the channel number. when dmac_ctrlax.src_width is less than dmac_ctrlax.dst_width and the dmac_chsrx.suspend[n] bit is high, the dm ac_chsrx.empty[n] is asserted once the contents of the fifo do not permit a single word of dmac_ctrlax.dst_width to be formed. however, there may still be data in the channel fifo but not enough to form a single transfer of dmac_ctlx.dst_width width. in th is configuration, once the channel is disabled, the remain- ing data in the channel fifo are not transferred to the destination peripheral. it is permitted to remove the channel from the suspension state by writing a ?1? to the dmac_cher.resume[n] field register. the dmac transfer completes in the normal manner. n defines the channel number. 37.3.5.1 abnormal transfer termination a dmac transfer may be terminated abruptly by so ftware by clearing the channel enable bit, dmac_chdr.enable[n] where n is the channel number. this does not mean that the channel is disabled immediately after the dmac_chsr.enable[n] bit is cleared over the apb inter- face. consider this as a request to disabl e the channel. the dmac_chsr.enable[n] must be polled and then it must be confirmed that the channel is disabled by reading back 0. software may terminate all channels abruptly by clearing the global enable bit in the dmac con- figuration register (dmac_en.enable bit). agai n, this does not mean that all channels are disabled immediately after the dmac_en.enable is cleared over the apb slave interface. consider this as a request to disable all c hannels. the dmac_chsr.enable must be polled and then it must be confirmed that all channels are disabled by reading back ?0?. note: if the channel enable bit is cleared while there is data in the channel fifo, this data is not sent to the destination peripheral and is not present when the channel is re-enabled. for read sensitive source peripherals, such as a source fifo, this data is therefore lost. when the source is not a read sensitive device (i.e., memory), disabling a channel without waiting for the channel fifo to empty may be acceptable as the data is available from the source peripheral upon request and is not lost. 37.4 dmac software requirements ? there must not be any write operation to channel registers in an active channel after the channel enable is made high. if any channel parameters must be reprogrammed, this can only be done after disabling the dmac channel. ? you must program the dmac_saddrx and dm ac_daddrx channel registers with a byte, half-word and word aligned address depending on the source width and destination width. ? after the software disables a channel by writing into the channel disable register, it must re- enable the channel only after it has polled a 0 in the corresponding channel enable status register. this is because the current ahb burst must terminate properly. ? if you program the btsize field in the dmac _ctrla, as zero, and the dmac is defined as the flow controller, then the channel is automatically disabled. ? when auto field is set to true, then the btsize field is automatically reloaded from its previous value. btsize must be initialized to a non zero value if the firs t transfer is initiated
595 6289d?atarm?3-oct-11 at91sam9r64/rl64 with auto field set to true even if lli mode is enabled because the lli fetch operation will not update this field.
596 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5 dma controller (dmac) user interface table 37-2. register mapping offset register name access reset state 0x000 dmac global configuration register dmac_gcfg read/write 0x10 0x004 dmac enable register dmac_en read/write 0x0 0x008 reserved ? ? ? 0x00c reserved ? ? ? 0x010 reserved ? ? ? 0x014 reserved ? ? ? 0x018 dmac error, chained buffer transfer completed and buffer transfer completed interrupt enable register. dmac_ebcier write-only ? 0x01c dmac error, chained buffer transfer completed and buffer transfer completed interrupt disable register. dmac_ebcidr write-only ? 0x020 dmac error, chained buffer transfer completed and buffer transfer completed mask register. dmac_ebcimr read-only 0x0 0x024 dmac error, chained buffer transfer completed and buffer transfer completed status register. dmac_ebcisr read-only 0x0 0x028 dmac channel handler enable register dmac_cher write-only ? 0x02c dmac channel handler disable register dmac_chdr write-only ? 0x030 dmac channel handler status register dmac_chsr read-only 0x00ff0000 0x034 reserved ? ? ? 0x038 reserved ? ? ? 0x03c dmac channel 0 source address register dmac_saddr0 read/write 0x0 0x040 dmac channel 0 destination addr ess register dmac_daddr0 read/write 0x0 0x044 dmac channel 0 descriptor address register dmac_dscr0 read/write 0x0 0x048 dmac channel 0 control a register dmac_ctrla0 read/write 0x0 0x04c dmac channel 0 control b register dmac_ctrlb0 read/write 0x0 0x050 dmac channel 0 configuration register dmac_cfg0 read/write 0x01000000 0x054 dmac channel 0 source picture in picture configuration register dmac_spip0 read/write 0x0 0x058 dmac channel 0 destination picture in picture configuration register dmac_dpip0 read/write 0x0 0x05c reserved ? ? ? 0x060 reserved ? ? ? 0x064 dmac channel 1 source address register dmac_saddr1 read/write 0x0 0x068 dmac channel 1 destination addr ess register dmac_daddr1 read/write 0x0 0x06c dmac channel 1 descriptor address register dmac_dscr1 read/write 0x0 0x070 dmac channel 1 control a register dmac_ctrla1 read/write 0x0 0x074 dmac channel 1 control b register dmac_ctrlb1 read/write 0x0
597 6289d?atarm?3-oct-11 at91sam9r64/rl64 0x078 dmac channel 1 configuration register dmac_cfg1 read/write 0x01000000 0x07c dmac channel 1 source picture in picture configuration register dmac_spip1 read/write 0x0 0x080 dmac channel 1 destination picture in picture configuration register dmac_dpip1 read/write 0x0 table 37-2. register mapping offset register name access reset state
598 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.1 dmac global configuration register name: dmac_gcfg access: read/write reset value: 0x00000010 ? if0_bigend 0: ahb-lite interface 0 is little endian. 1: ahb-lite interface 0 is big endian. ? arb_cfg 0: fixed priority arbiter. 1: modified round robin arbiter. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???arb_cfg???if0_bigend
599 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.2 dmac enable register name: dmac_en access: read/write reset value: 0x00000000 ? enable 0: dma controller is disabled. 1: dma controller is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????enable
600 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.3 dmac error, buffer transfer and chained buffer transfer interrupt enable register name: dmac_ebcier access: write-only reset value: 0x00000000 ? btc[1:0] buffer transfer completed interrupt enable register. set the relevant bit in the btc field to enable the interrupt for channel i. ? cbtc[1:0] chained buffer transfer completed interrupt enable register. set the relevant bit in the cbtc field to enable the interrupt for channel i. ? err[1:0] access error interrupt enable register. set the relevant bi t in the err field to enable the interrupt for channel i. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????err1err0 15 14 13 12 11 10 9 8 ??????cbtc1cbtc0 76543210 ??????btc1btc0
601 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.4 dmac error, buffer transfer and chained buffer transfer interrupt disable register name: dmac_ebcidr access: write-only reset value: 0x00000000 ? btc[1:0] buffer transfer completed disable interrupt register. when set, a bit of the btc field disables the interrupt from the rele- vant dmac channel. ? cbtc[1:0] chained buffer transfer completed disable register. when set, a bit of the cbtc field disables the interrupt from the rele- vant dmac channel. ? err[1:0] access error interrupt disable register. when set, a bit of t he err field disables the interrupt from the relevant dmac channel. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????err1err0 15 14 13 12 11 10 9 8 ??????cbtc1cbtc0 76543210 ??????btc1btc0
602 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.5 dmac error, buffer transfer and chained buffer transfer interrupt mask register name: dmac_ebcimr access: read-only reset value: 0x00000000 ? btc[1:0] 0: buffer transfer completed interrupt is disabled for channel i. 1: buffer transfer completed interrupt is enabled for channel i. ? cbtc[1:0] 0: chained buffer transfer interrupt is disabled for channel i. 1: chained buffer transfer interrupt is enabled for channel i. ? err[1:0] 0: transfer error interrupt is disabled for channel i. 1: transfer error interrupt is enabled for channel i. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????err1err0 15 14 13 12 11 10 9 8 ??????cbtc1cbtc0 76543210 ??????btc1btc0
603 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.6 dmac error, buffer transfer and chained buffer transfer status register name: dmac_ebcisr access: read-only reset value: 0x00000000 ? btc[1:0] when btc[ i ] is set, channel i buffer transfer has terminated. ? cbtc[1:0] when cbtc[ i ] is set, channel i chained buffer has terminated. lli fetch operation is disabled. ? err[1:0] when err[ i ] is set, channel i has detected an ahb read or write error access. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ??????err1err0 15 14 13 12 11 10 9 8 ??????cbtc1cbtc0 76543210 ??????btc1btc0
604 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.7 dmac channel handler enable register name: dmac_cher access: write-only reset value: 0x00000000 ? ena[1:0] when set, a bit of the ena field enables the relevant channel. ? susp[1:0] when set, a bit of the susp field freezes the relevant channel and its current context. ? keep[1:0] when set, a bit of the keep fi eld resumes the current channel from an automatic stall state. 31 30 29 28 27 26 25 24 ?????? keep1 keep0 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????susp1susp0 76543210 ??????ena1ena0
605 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.8 dmac channel handler disable register name: dmac_chdr access: write-only reset value: 0x00000000 ? dis[1:0] write one to this field to disable the relevant dmac channel. the content of the fifo is lost and the current ahb access is terminated. software must poll dis[1:0] field in the dmac_chsr register to be sure that the channel is disabled. ? res[1:0] write one to this field to resume the channel transfer restoring its context. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ??????res1res0 76543210 ??????dis1dis0
606 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.9 dmac channel handler status register name: dmac_chsr access: read-only reset value: 0x00ff0000 ? ena[1:0] a one in any position of this field indicates that the relevant channel is enabled. ? susp[1:0] a one in any position of this field indicates that the channel transfer is suspended. ? empt[1:0] a one in any position of this field indicates that the relevant channel is empty. ? stal[1:0] a one in any position of this field indica tes that the relevant channel is stalling. 31 30 29 28 27 26 25 24 ??????stal1stal0 23 22 21 20 19 18 17 16 ??????empt1empt0 15 14 13 12 11 10 9 8 ??????susp1susp0 76543210 ??????ena1ena0
607 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.10 dmac channel x [x = 0..1] source address register name: dmac_saddrx [x = 0..1] access: read/write reset value: 0x00000000 ? saddrx channel x source address. this register must be aligned with the source transfer width. 37.5.11 dmac channel x [x = 0..1] destination address register name: dmac_daddrx [x = 0..1] access: read/write reset value: 0x00000000 ? daddrx channel x destination address. this register must be aligned with the destination transfer width. 31 30 29 28 27 26 25 24 saddrx 23 22 21 20 19 18 17 16 saddrx 15 14 13 12 11 10 9 8 saddrx 76543210 saddrx 31 30 29 28 27 26 25 24 daddrx 23 22 21 20 19 18 17 16 daddrx 15 14 13 12 11 10 9 8 daddrx 76543210 daddrx
608 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.12 dmac channel x [x = 0..1] descriptor address register name: dmac_dscrx [x = 0..1] access: read/write reset value: 0x00000000 ?dscrx_if 00: the buffer transfer descriptor is fetched via ahb-lite interface 0. 01: reserved. 10: reserved. 11: reserved. ?dscrx buffer transfer descriptor address. this address is word aligned. 31 30 29 28 27 26 25 24 dscrx 23 22 21 20 19 18 17 16 dscrx 15 14 13 12 11 10 9 8 dscrx 76543210 dscrx dscrx_if
609 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.13 dmac channel x [x = 0..1] control a register name: dmac_ctrlax [x = 0..1] access: read/write reset value: 0x00000000 ?btsize buffer transfer size. the transfer size relates to the number of transfers to be performed, that is, for writes it refers to th e number of source width transfers to perform when dmac is flow controller. for reads, btsize refers to the number of transfers completed on the source interface. when this field is set to 0, the dmac module is automatically disabled when the relevant channel is enabled. ?src_width ?dst_width ?done 0: the transfer is performed. 1: if sod field of dmac_cfg register is set to true, then the dmac is automatically disabled when an lli updates the con- tent of this register. the done field is written back to memory at the end of the transfer. 31 30 29 28 27 26 25 24 done ? dst_width ? ? src_width 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 btsize 76543210 btsize src_width single transfer size 00 byte 01 half-word 1x word dst_width single transfer size 00 byte 01 half-word 1x word
610 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.14 dmac channel x [x = 0..1] control b register name: dmac_ctrlbx [x = 0..1] access: read/write reset value: 0x00000000 ?sif source interface selection field. 00: the source transfer is done via ahb-lite interface 0. 01: reserved. 10: reserved. 11: reserved. ?dif destination interface selection field. 00: the destination transfer is done via ahb-lite interface 0. 01: reserved. 10: reserved. 11: reserved. ?src_pip 0: picture-in-picture mode is disabled. the source data area is contiguous. 1: picture-in-picture mode is enabled. when the source pip counter reaches the programmable boundary, the address is automatically increment of a user defined amount. ?dst_pip 0: picture-in-picture mode is disabled. the destination data area is contiguous. 1: picture-in-picture mode is enabled. when the destinati on pip counter reaches the programmable boundary the address is automatically incremented by a user-defined amount. ?src_dscr 0: source address is updated when the descriptor is fetched from the memory. 1: buffer descriptor fetch operation is disabled for the source. 31 30 29 28 27 26 25 24 auto ? dst_incr ? ? src_incr 23 22 21 20 19 18 17 16 ? ? ? dst_dscr ? ? ? src_dscr 15 14 13 12 11 10 9 8 ?? dst_pip???src_pip 76543210 ?? dif ?? sif
611 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? dst_dscr 0: destination address is updated when the descriptor is fetched from the memory. 1: buffer descriptor fetch operation is disabled for the destination. ?src_incr ? dst_incr ?auto automatic multiple buffer transfer is enabled. when set, this bit enables replay mode or contiguous mode when several buf- fers are transferred. src_incr type of addressing mode 00 incrementing 01 decrementing 10 fixed dst_incr type of addressing scheme 00 incrementing 01 decrementing 10 fixed
612 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.15 dmac channel x [x = 0..1] configuration register name: dmac_cfgx [x = 0..1] access: read/write reset value: 0x0100000000 ?src_rep 0: when automatic mode is activated, source address is contiguous between two buffers. 1: when automatic mode is activated, the source address and the control register are reloaded from previous transfer. ? dst_rep 0: when automatic mode is activated, destination address is contiguous between two buffers. 1: when automatic mode is activated, the destination and the control register are reloaded from the previous transfer. ?sod 0: stop on done disabled, the descriptor fetch operation ignores done field of ctrla register. 1: stop on done activated, the dmac module is automatically disabled if done field is set to 1. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????sod 15 14 13 12 11 10 9 8 ? ? ? dst_rep ? ? ? src_rep 76543210 ????????
613 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.16 dmac channel x [x = 0..1] source picture in picture configuration register name: dmac_spipx [x = 0..1] access: read/write reset value: 0x00000000 ? spip_hole this field indicates the value to add to the address when the programmable boundary has been reached. ? spip_boundary this field indicates the number of source transfers to perform before the automatic address increment operation. 31 30 29 28 27 26 25 24 ??????spip_boundary 23 22 21 20 19 18 17 16 spip_boundary 15 14 13 12 11 10 9 8 spip_hole 76543210 spip_hole
614 6289d?atarm?3-oct-11 at91sam9r64/rl64 37.5.17 dmac channel x [x = 0..1] destination picture in picture configuration register name: dmac_dpipx [x = 0..1] access: read/write reset value: 0x00000000 ? dpip_hole this field indicates the value to add to the address when the programmable boundary has been reached. ? dpip_boundary this field indicates the number of source transfers to perform before the automatic address increment operation. 31 30 29 28 27 26 25 24 ??????dpip_boundary 23 22 21 20 19 18 17 16 dpip_boundary 15 14 13 12 11 10 9 8 dpipe_hole 76543210 dpipe_hole
615 6289d?atarm?3-oct-11 at91sam9r64/rl64 38. multimedia card interface (mci) 38.1 description the multimedia card interface (mci) supports the multimedia card (mmc) specification v3.11, the sdio specification v1.1 and the sd memory card specification v1.0. the mci includes a command register, response registers, data registers, timeout counters and error detection logic that automatically handle the transmission of commands and, when required, the reception of the associated responses and data with a limited processor overhead. the mci supports stream, block and multi-block data read and write, and is compatible with the peripheral dma controller (pdc) channels, minimi zing processor intervention for large buffer transfers. the mci operates at a rate of up to master cloc k divided by 2 and supports the interfacing of 2 slot(s). each slot may be used to interface with a multimediacard bus (up to 30 cards) or with a sd memory card. only one slot can be selected at a time (slots are multiplexed). a bit field in the sd card register performs this selection. the sd memory card communication is based on a 9-pin interface (clock, command, four data and three power lines) and the multimedia card on a 7-pin interface (clock, command, one data, three power lines and one reserved for future use). the sd memory card interface also supports multimedia card operations. the main differences between sd and multimedia cards are the initialization process and the bus topology.
616 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.2 block diagram figure 38-1. block diagram note: 1. when several mci (x mci) are embedded in a product, mcck refers to mcix_ck, mccda to mcix_cda, mccdb to mcix_cdb,mcday to mcix_day, mcdby to mcix_dby. mci interface interrupt control pio pdc apb bridge pmc mck mci interrupt mcck (1) mccda (1) mcda0 (1) mcda1 (1) mcda2 (1) mcda3 (1) mccdb (1) mcdb0 (1) mcdb1 (1) mcdb2 (1) mcdb3 (1) apb
617 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.3 application block diagram figure 38-2. application block diagram 38.4 pin name list notes: 1. i: input, o: output, pp: push/pull, od: open drain. 2. when several mci (x mci) are embedded in a product, mcck refers to mcix_ck, mccda to mcix_cda, mccdb to mcix_cdb, mcday to mcix_day, mcdby to mcix_dby. 38.5 product dependencies 38.5.1 i/o lines the pins used for interfacing the multimedia cards or sd cards may be multiplexed with pio lines. the programmer must first program the pio controllers to assign the peripheral functions to mci pins. 38.5.2 power management the mci may be clocked through the power management controller (pmc), so the programmer must first configure the pmc to enable the mci clock. table 38-1. i/o lines description pin name (2) pin description type (1) comments mccda/mccdb command/response i/o/pp/od cmd of an mmc or sdcard/sdio mcck clock i/o clk of an mmc or sd card/sdio mcda0 - mcda3 data 0..3 of slot a i/o/pp dat0 of an mmc dat[0..3] of an sd card/sdio mcdb0 - mcdb3 data 0..3 of slot b i/o/pp dat0 of an mmc dat[0..3] of an sd card/sdio 23456 17 mmc 23456 17 8 sdcard 9 physical layer mci interface application layer ex: file system, audio, security, etc.
618 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.5.3 interrupt the mci interface has an interrupt line connected to the advanced interrupt controller (aic). handling the mci interrupt requires programming the aic before configuring the mci. 38.6 bus topology figure 38-3. multimedia memory card bus topology the multimedia card communication is based on a 7- pin serial bus interface. it has three com- munication lines and four supply lines. notes: 1. i: input, o: output, pp: push/pull, od: open drain. 2. when several mci (x mci) are embedded in a pr oduct, mcck refers to mcix_ck, mccda to mcix_cda, mccdb to mcix_cdb, mcday to mcix_day, mcdby to mcix_dby. figure 38-4. mmc bus connections (one slot) note: when several mci (x mci) are embedded in a product, mcck refers to mcix_ck, mccda to mcix_cda mcday to mcix_day. 23456 17 mmc table 38-2. bus topology pin number name type (1) description mci pin name (2) (slot z) 1 rsv nc not connected - 2 cmd i/o/pp/od command/response mccdz 3 vss1 s supply voltage ground vss 4 vdd s supply voltage vdd 5 clk i/o clock mcck 6 vss2 s supply voltage ground vss 7 dat[0] i/o/pp data 0 mcdz0 23456 1 7 23456 1 7 23456 17 mccda mcda0 mcck mmc1 mmc2 mmc3 mci
619 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-5. sd memory card bus topology the sd memory card bus includes the signals listed in table 38-3 . notes: 1. i: input, o: output, pp: push pull, od: open drain. 2. when several mci (x mci) are embedded in a pr oduct, mcck refers to mcix_ck, mccda to mcix_cda, mccdb to mcix_cdb, mcday to mcix_day, mcdby to mcix_dby. figure 38-6. sd card bus connections with one slot note: when several mci (x mci) are embedded in a product, mcck refers to mcix_ck, mccda to mcix_cda mcday to mcix_day. 23456 17 8 sd card 9 table 38-3. sd memory card bus signals pin number name type (1) description mci pin name (2) (slot z) 1 cd/dat[3] i/o/pp card detect/ data line bit 3 mcdz3 2 cmd pp command/response mccdz 3 vss1 s supply voltage ground vss 4 vdd s supply voltage vdd 5 clk i/o clock mcck 6 vss2 s supply voltage ground vss 7 dat[0] i/o/pp data line bit 0 mcdz0 8 dat[1] i/o/pp data line bit 1 or interrupt mcdz1 9 dat[2] i/o/pp data line bit 2 mcdz2 23456 17 mcda0 - mcda3 mccda mcck 8 sd card 9
620 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-7. sd card bus connections with two slots note: when several mci (x mci) are embedded in a product, mcck refers to mcix_ck,mccda to mcix_cda, mcday to mcix_day, mccdb to mcix_cdb, mcdby to mcix_dby. figure 38-8. mixing multimedia and sd memory cards with two slots note: when several mci (x mci) are embedded in a product, mcck refers to mcix_ck, mccda to mcix_cda, mcday to mcix_day, mccdb to mcix_cdb, mcdby to mcix_dby. when the mci is configured to operate with sd memory cards, the width of the data bus can be selected in the mci_sdcr register. clearing the sdcbus bit in this register means that the width is one bit; setting it means that the width is four bits. in the case of multimedia cards, only the data line 0 is used. the other data lines can be used as independent pios. 23456 17 mcda0 - mcda3 mccda mcck 8 sd card 1 9 23456 17 8 sd card 2 9 mcdb0 - mcdb3 mccdb 23456 1 7 23456 1 7 23456 17 mmc1 mmc2 mmc3 mcda0 mcck mccda 23456 17 8 sd card 9 mcdb0 - mcdb3 mccdb
621 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.7 multimedia card operations after a power-on reset, the cards are initialized by a special message-based multimedia card bus protocol. each message is represented by one of the following tokens: ? command: a command is a token that starts an operation. a command is sent from the host either to a single card (addressed command) or to all connected cards (broadcast command). a command is transferred serially on the cmd line. ? response: a response is a token which is sent from an addressed card or (synchronously) from all connected cards to the host as an answer to a previously received command. a response is transferred serially on the cmd line. ? data: data can be transferred from the card to the host or vice versa. data is transferred via the data line. card addressing is implemented using a sess ion address assigned during the initialization phase by the bus controller to all currently connected cards. their unique cid number identifies individual cards. the structure of commands, resp onses and data blocks is descr ibed in the multimedia-card system specification. see also table 38-4 on page 622 . multimediacard bus data transfers are composed of these tokens. there are different types of operations. addressed operations always contain a command and a response token. in addition, some operations have a data token; the others transfer their infor- mation directly within the command or response structure. in this case, no data token is present in an operation. the bits on the dat and the cmd lines are transferred synchronous to the clock mci clock. two types of data transfer commands are defined: ? sequential commands: these commands initiate a continuous data stream. they are terminated only when a stop command follows on the cmd line. this mode reduces the command overhead to an absolute minimum. ? block-oriented commands: th ese commands send a data block succeeded by crc bits. both read and write operations allow either single or multiple block transmission. a multiple block transmission is terminated when a stop co mmand follows on the cm d line similarly to the sequential read or when a multiple block transmission has a pre-defined block count ( see ?data transfer operation? on page 623. ). the mci provides a set of registers to perform the entire range of multimedia card operations. 38.7.1 command - response operation after reset, the mci is disabled and becomes valid after setting the mcien bit in the mci_cr control register. the pwsen bit saves power by dividing the mci clock by 2 pwsdiv + 1 when the bus is inactive. the two bits, rdproof and wrproof in the mci mode register (mci_mr) allow stopping the mci clock during read or write access if the internal fifo is full. this guarantees data integ- rity, not bandwidth. the command and the response of the card are clocked out with the rising edge of the mci clock. all the timings for multimedia card are defined in the multim ediacard system specification.
622 6289d?atarm?3-oct-11 at91sam9r64/rl64 the two bus modes (open drain and push/pull) needed to process all the operations are defined in the mci command register. the mci_cmdr allows a command to be carried out. for example, to perform an all_send_cid command: the command all_send_cid and the fields and values for the mci_cmdr control register are described in table 38-4 and table 38-5 . note: bcr means broadcast command with response. the mci_argr contains the argument field of the command. to send a command, the user must perform the following steps: ? fill the argument regi ster (mci_argr) with the command argument. ? set the command register (mci_cmdr) (see table 38-5 ). the command is sent immediately after writing the command register. the status bit cmdrdy in the status register (mci_sr) is assert ed when the command is completed. if the command requires a response, it can be read in the mci response register (mci_rspr). the response size can be from 48 bits up to 136 bits depending on the command. the mci embeds an error detection to prevent any corrupted data during the transfer. the following flowchart shows how to send a command to the card and read the response if needed. in this example, the status register bits are polled but setting the appropriate bits in the interrupt enable register (mci_ier) allows using an interrupt method. host command n id cycles cid cmd s t content crc e z ****** z s t content z z z table 38-4. all_send_cid command description cmd index type argument resp abbreviation command description cmd2 bcr [31:0] stuff bits r2 all_send_cid asks all cards to send their cid numbers on the cmd line table 38-5. fields and values for mci_cmdr command register field value cmdnb (command number) 2 (cmd2) rsptyp (response type) 2 (r2: 136 bits response) spcmd (special command) 0 (not a special command) opcmd (open drain command) 1 maxlat (max latency for command to response) 0 (nid cycles ==> 5 cycles) trcmd (transfer command) 0 (no transfer) trdir (transfer direction) x (available only in transfer command) trtyp (transfer type) x (available only in transfer command) iospcmd (sdio special command) 0 (not a special command)
623 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-9. command/response functional flow diagram note: 1. if the command is send_op_cond, the crc error flag is always present (refer to r3 response in the multimedia card specification). 38.7.2 data transfer operation the multimedia card allo ws several read/write operations (single block, multiple blocks, stream, etc.). these kind of transfers can be selected setting the transfer type (trtyp) field in the mci command register (mci_cmdr). these operations can be done using the features of the peripheral dma controller (pdc). if the pdcmode bit is set in mci_mr, then all reads and writes us e the pdc facilities. in all cases, the block length (blklen field) must be defined either in the mode register mci_mr, or in the block register mci_blkr. this field determines the size of the data block. enabling pdc force byte transf er (pdcfbyte bit in the mci_mr) allows the pdc to manage with internal byte transfers, so that transfer of blocks with a size different from modulo 4 can be supported. when pdc force byte transfer is di sabled, the pdc type of transfers are in words, otherwise the type of transfers are in bytes. return ok return error (1) set the command argument mci_argr = argument (1) set the command mci_cmdr = command read mci_sr cmdrdy status error flags? read response if required ye s wait for command ready status flag check error bits in the status register (1) 0 1
624 6289d?atarm?3-oct-11 at91sam9r64/rl64 consequent to mmc specification 3.1, two types of multiple block read (or write) transactions are defined (the host can use either one at any time): ? open-ended/infinite multiple block read (or write): the number of blocks for the read (or write) multiple block operation is not defined. the card continuously transfers (or programs) data blocks until a stop transmission command is received. ? multiple block read (or write) with pre-defined block count (since version 3.1 and higher): the card transfers (or programs) the requested number of data blocks and terminate the transaction. the stop command is not required at the end of this type of multiple block read (or write), unless terminated with an error. in order to start a multiple block read (or write) with pre-defined block count, the host must correctly program the mci block register (mci_blkr). otherwise the card starts an open-ended multiple block read. the bcnt field of the block register defines the number of blocks to transfer (from 1 to 65535 blocks). pro- gramming the value 0 in the bcnt field corresponds to an infinite block transfer. 38.7.3 read operation the following flowchart shows how to read a single block with or without use of pdc facilities. in this example (see figure 38-10 ), a polling method is used to wait for the end of read. similarly, the user can configure the interrupt enable register (mci_ier) to trigger an interrupt at the end of read.
625 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-10. read functional flow diagram note: 1. it is assumed that this command has been correctly sent (see figure 38-9 ). 2. this field is also accessible in the mci block register (mci_blkr). read status register mci_sr send select/deselect_card command (1) to select the card send set_blocklen command (1) read with pdc reset the pdcmode bit mci_mr &= ~pdcmode set the block length (in bytes) mci_mr |= (blocklenght <<16) (2) set the block count (if necessary) mci_blkr |= (blockcount << 0) number of words to read = 0 ? poll the bit rxrdy = 0? read data = mci_rdr number of words to read = number of words to read -1 send read_single_block command (1) yes set the pdcmode bit mci_mr |= pdcmode set the block length (in bytes) mci_mr |= (blocklength << 16) (2) set the block count (if necessary) mci_blkr |= (blockcount << 0) configure the pdc channel mci_rpr = data buffer address mci_rcr = blocklength/4 mci_ptcr = rxten send read_single_block command (1) read status register mci_sr poll the bit endrx = 0? yes return return yes no no no yes no number of words to read = blocklength/4
626 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.7.4 write operation in write operation, the mci mode register (mc i_mr) is used to define the padding value when writing non-multiple block size. if the bit pdcpad v is 0, then 0x00 value is used when padding data, otherwise 0xff is used. if set, the bit pdcmode enables pdc transfer. the following flowchart shows how to write a singl e block with or without use of pdc facilities (see figure 38-11 ). polling or interrupt method can be used to wait for the en d of write according to the contents of the interrupt mask register (mci_imr).
627 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-11. write functional flow diagram note: 1. it is assumed that this command has been correctly sent (see figure 38-9 ). 2. this field is also accessible in the mci block register (mci_blkr). send select/deselect_card command (1) to select the card send set_blocklen command (1) write using pdc send write_single_block command (1) configure the pdc channel mci_tpr = data buffer address to write mci_tcr = blocklength/4 send write_single_block command (1) read status register mci_sr poll the bit notbusy= 0? yes no yes no read status register mci_sr number of words to write = 0 ? poll the bit txrdy = 0? mci_tdr = data to write number of words to write = number of words to write -1 yes return no yes no number of words to write = blocklength/4 mci_ptcr = txten reset the pdcmode bit mci_mr &= ~pdcmode set the block length (in bytes) mci_mr |= (blocklenght <<16) (2) set the block count (if necessary) mci_blkr |= (blockcount << 0) set the pdcmode bit mci_mr |= pdcmode set the block length (in bytes) mci_mr |= (blocklength << 16) (2) set the block count (if necessary) mci_blkr |= (blockcount << 0) return
628 6289d?atarm?3-oct-11 at91sam9r64/rl64 the following flowchart shows how to manage a mu ltiple write block tran sfer with the pdc (see figure 38-12 ). polling or interrupt method can be used to wait for the end of write according to the contents of the interrupt mask register (mci_imr).
629 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 38-12. multiple write functi onal flow diagram note: 1. it is assumed that this command has been correctly sent (see figure 38-9 ). 2. this field is also accessible in the mci block register (mci_blkr). configure the pdc channel mci_tpr = data buffer address to write mci_tcr = blocklength/4 send write_multiple_block command (1) read status register mci_sr poll the bit blke = 0? yes mci_ptcr = txten set the pdcmode bit mci_mr |= pdcmode set the block length (in bytes) mci_mr |= (blocklength << 16) (2) set the block count (if necessary) mci_blkr |= (blockcount << 0) no poll the bit notbusy = 0? yes return no send stop_transmission command (1) send select/deselect_card command (1) to select the card send set_blocklen command (1)
630 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.8 sd/sdio card operations the multimedia card interface allows processing of sd memory (secure digital memory card) and sdio (sd input output) card commands. sd/sdio cards are based on the multi media card (mmc) format, but are physically slightly thicker and feature higher data transfer rates, a lock switch on the side to prevent accidental overwriting and security featur es. the physical form factor, pin assignment and data transfer protocol are forward-compatible with the multimedia card with some additions. sd slots can actually be used for more than flash memory ca rds. devices that support sdio can use small devices designed for the sd form factor, su ch as gps receivers, wi-fi or bluetooth ? adapters, modems, barcode readers, irda adapters, fm radio tuners, rfid readers, digital cameras and more. sd/sdio is covered by numerous patents and trademarks, and licensing is only available through the secure digital card association. the sd/sdio card communication is based on a 9-pin interface (clock, command, 4 x data and 3 x power lines). the communication protocol is defined as a part of this specification. the main difference between the sd/sdio card and t he multimedia card is the initialization process. the sd/sdio card register (mci _sdcr) allows selection of the card slot and the data bus width. the sd/sdio card bus allows dynamic configur ation of the number of data lines. after power up, by default, the sd/sdio card uses only dat0 for data transfer. after initialization, the host can change the bus width (number of active data lines). 38.8.1 sdio data transfer type sdio cards may transfer data in either a multi-byte (1 to 512 bytes) or an optional block format (1 to 511 blocks), while the sd memory cards are fixed in the block transfer mode. the trtyp field in the mci command register (mci_cmdr) allows to choose between sdio byte or sdio block transfer. the number of bytes/blocks to transfer is set through the bcnt field in the mci block register (mci_blkr). in sdio block mode, the field blkl en must be set to the data block size while this field is not used in sdio byte mode. an sdio card can have multiple i/o or combined i/o and memo ry (called combo card). within a multi-function sdio or a combo card, there are multiple devices (i/o and memory) that share access to the sd bus. in order to allow the sharing of access to the host among multiple devices, sdio and combo cards can implement the optional concept of suspend/resume (refer to the sdio specification for more details). to send a suspend or a resume command, the host must set the sdio special command field (iospcmd) in the mci command register. 38.8.2 sdio interrupts each function within an sdio or combo card may implement interrupts (refer to the sdio specification for more details). in order to allow the sdio card to interrupt the host, an interrupt function is added to a pin on the dat[1] line to signal the card?s interrupt to the host. an sdio interrupt on each slot can be enabled through the mci interrupt enable register. the sdio interrupt is sampled regardless of the currently selected slot.
631 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9 multimedia card inte rface (mci) user interface note: 1. the response register can be read by n accesses at the same mci_rspr or at consecutive addresses (0x20 to 0x2c). n depends on the size of the response. table 38-6. register mapping offset register register name read/write reset 0x00 control register mci_cr write ? 0x04 mode register mci_mr read/write 0x0 0x08 data timeout register mci_dtor read/write 0x0 0x0c sd/sdio card register mci_sdcr read/write 0x0 0x10 argument register mci_argr read/write 0x0 0x14 command register mci_cmdr write ? 0x18 block register mci_blkr read/write 0x0 0x1c reserved ? ? ? 0x20 response register (1) mci_rspr read 0x0 0x24 response register (1) mci_rspr read 0x0 0x28 response register (1) mci_rspr read 0x0 0x2c response register (1) mci_rspr read 0x0 0x30 receive data register mci_rdr read 0x0 0x34 transmit data register mci_tdr write ? 0x38 - 0x3c reserved ? ? ? 0x40 status register mci_sr read 0xc0e5 0x44 interrupt enable register mci_ier write ? 0x48 interrupt disable register mci_idr write ? 0x4c interrupt mask register mci_imr read 0x0 0x50-0xfc reserved ? ? ? 0x100-0x124 reserved for the pdc ? ? ?
632 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.1 mci control register name: mci_cr access type: write-only ? mcien: multi-media interface enable 0 = no effect. 1 = enables the multi-media interface if mcdis is 0. ? mcidis: multi-media interface disable 0 = no effect. 1 = disables the multi-media interface. ? pwsen: power save mode enable 0 = no effect. 1 = enables the power saving mode if pwsdis is 0. warning: before enabling this mode, the user must set a value different from 0 in the pwsdiv field (mode register mci_mr). ? pwsdis: power save mode disable 0 = no effect. 1 = disables the power saving mode. ? swrst: software reset 0 = no effect. 1 = resets the mci. a software triggered hardware reset of the mci interface is performed. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 swrst ? ? ? pwsdis pwsen mcidis mcien
633 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.2 mci mode register name: mci_mr access type: read/write ? clkdiv: clock divider multimedia card interface clock (mcck or mci_ck) is master clock (mck) divided by (2*(clkdiv+1)). ? pwsdiv: power saving divider multimedia card interface clock is divided by 2 (pwsdiv) + 1 when entering power saving mode. warning: this value must be different from 0 before enabling the power save mode in the mci_cr (mci_pwsen bit). ? rdproof read proof enable enabling read proof allows to stop the mci clock during read access if the internal fifo is full. this guarantees data integrity, no t bandwidth. 0 = disables read proof. 1 = enables read proof. ? wrproof write proof enable enabling write proof allows to stop the mci clock during write access if the internal fifo is full. this guarantees data integrity, no t bandwidth. 0 = disables write proof. 1 = enables write proof. ? pdcfbyte: pdc force byte transfer enabling pdc force byte transfer allows the pdc to manage with internal byte transfers, so that transfer of blocks with a size different from modulo 4 can be supported. warning: blklen value depends on pdcfbyte. 0 = disables pdc force byte transfer. pdc type of transfer are in words. 1 = enables pdc force byte transfer. pdc type of transfer are in bytes. ? pdcpadv: pdc padding value 0 = 0x00 value is used when padding data in write transfer (not only pdc transfer). 1 = 0xff value is used when padding data in write transfer (not only pdc transfer). 31 30 29 28 27 26 25 24 blklen 23 22 21 20 19 18 17 16 blklen 15 14 13 12 11 10 9 8 pdcmode pdcpadv pdcfbyte wrproof rdproof pwsdiv 76543210 clkdiv
634 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? pdcmode: pdc-oriented mode 0 = disables pdc transfer 1 = enables pdc transfer. in this case, unre and ovre flags in the mci mode register (mci_sr) are deactivated after the pdc transfer has been completed. ? blklen: data block length this field determines the size of the data block. this field is also accessible in the mci block register (mci_blkr). bits 16 and 17 must be set to 0 if pdcfbyte is disabled. note: in sdio byte mode, blklen field is not used.
635 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.3 mci data timeout register name: mci_dtor access type: read/write ? dtocyc: data timeout cycle number ? dtomul: data timeout multiplier these fields determine the maximum number of master clock cycles that the mci waits between two data block transfers. it equals (dtocyc x multiplier). multiplier is defined by dtomul as shown in the following table: if the data time-out set by dtocyc and dtomul has been exceeded, the data time-out error flag (dtoe) in the mci status register (mci_sr) raises. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? dtomul dtocyc dtomul multiplier 0001 00116 010128 011256 1001024 1014096 1 1 0 65536 1 1 1 1048576
636 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.4 mci sdcard/sdio register name: mci_sdcr access type: read/write ? sdcsel: sdcard/sdio slot ? sdcbus: sdcard/sdio bus width 0 = 1-bit data bus 1 = 4-bit data bus 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 sdcbus????? sdcsel sdcsel sdcard/sdio slot 00 slot a is selected . 0 1 slot b selected 10reserved 11reserved
637 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.5 mci argument register name: mci_argr access type: read/write ? arg: command argument 31 30 29 28 27 26 25 24 arg 23 22 21 20 19 18 17 16 arg 15 14 13 12 11 10 9 8 arg 76543210 arg
638 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.6 mci command register name: mci_cmdr access type: write-only this register is write-protecte d while cmdrdy is 0 in mci_sr. if an interrupt command is sen t, this register is only write- able by an interrupt response (field spcmd). this means that the current command execution cannot be interrupted or modified. ? cmdnb: command number ? rsptyp: response type ? spcmd: special command ? opdcmd: open drain command 0 = push pull command 1 = open drain command 31 30 29 28 27 26 25 24 ?????? iospcmd 23 22 21 20 19 18 17 16 ? ? trtyp trdir trcmd 15 14 13 12 11 10 9 8 ? ? ? maxlat opdcmd spcmd 76543210 rsptyp cmdnb rsp response type 0 0 no response. 0 1 48-bit response. 1 0 136-bit response. 1 1 reserved. spcmd command 0 0 0 not a special cmd. 001 initialization cmd: 74 clock cycles for in itialization sequence. 010 synchronized cmd: wait for the end of the current data block transfer before sending the pending command. 011reserved. 100 interrupt command: corresponds to the interrupt mode (cmd40). 101 interrupt response: corresponds to the interrupt mode (cmd40).
639 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? maxlat: max latency for command to response 0 = 5-cycle max latency 1 = 64-cycle max latency ? trcmd: transfer command ? trdir: transfer direction 0 = write 1 = read ? trtyp: transfer type ? iospcmd: sdio special command trcmd transfer type 0 0 no data transfer 0 1 start data transfer 1 0 stop data transfer 11reserved trtyp transfer type 0 0 0 mmc/sdcard single block 0 0 1 mmc/sdcard multiple block 010mmc stream 0 1 1 reserved 1 0 0 sdio byte 101sdio block 1 1 0 reserved 1 1 1 reserved iospcmd sdio special command type 0 0 not a sdio special command 0 1 sdio suspend command 1 0 sdio resume command 11reserved
640 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.7 mci block register name: mci_blkr access type: read/write ? bcnt: mmc/sdio block count - sdio byte count this field determines the number of data byte(s) or block(s) to transfer. the transfer data type and the authorized values for bcnt field are determined by the trtyp field in the mci command register (mci_cmdr): warning: in sdio byte and block modes, writing to the 7 last bi ts of bcnt field, is forbidden and may lead to unpredict- able results. ? blklen: data block length this field determines the size of the data block. this field is also accessible in the mci mode register (mci_mr). bits 16 and 17 must be set to 0 if pdcfbyte is disabled. note: in sdio byte mode, blklen field is not used. 31 30 29 28 27 26 25 24 blklen 23 22 21 20 19 18 17 16 blklen 15 14 13 12 11 10 9 8 bcnt 76543210 bcnt trtyp type of transfer bcnt authorized values 0 0 1 mmc/sdcard multiple block from 1 to 65536: value 0 corresponds to an infinite block transfer. 1 0 0 sdio byte from 1 to 512 bytes: value 0 corresponds to a 512-byte transfer. values from 0x200 to 0xffff are forbidden. 1 0 1 sdio block from 1 to 511 blocks: value 0 corresponds to an infinite block transfer. values from 0x200 to 0xffff are forbidden. other values - reserved.
641 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.8 mci response register name: mci_rspr access type: read-only ? rsp: response note: 1. the response register can be read by n accesses at the same mci_rspr or at consecutive addresses (0x20 to 0x2c). n depends on the size of the response. 31 30 29 28 27 26 25 24 rsp 23 22 21 20 19 18 17 16 rsp 15 14 13 12 11 10 9 8 rsp 76543210 rsp
642 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.9 mci receive data register name: mci_rdr access type: read-only ? data: data to read 38.9.10 mci transmit data register name: mci_tdr access type: write-only ? data: data to write 31 30 29 28 27 26 25 24 data 23 22 21 20 19 18 17 16 data 15 14 13 12 11 10 9 8 data 76543210 data 31 30 29 28 27 26 25 24 data 23 22 21 20 19 18 17 16 data 15 14 13 12 11 10 9 8 data 76543210 data
643 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.11 mci status register name: mci_sr access type: read-only ? cmdrdy: command ready 0 = a command is in progress. 1 = the last command has been sent. cleared when writing in the mci_cmdr. ? rxrdy: receiver ready 0 = data has not yet been received since the last read of mci_rdr. 1 = data has been received since the last read of mci_rdr. ? txrdy: transmit ready 0= the last data written in mci_tdr has not yet been transferred in the shift register. 1= the last data written in mci_tdr has been transferred in the shift register. ? blke: data block ended this flag must be used only for write operations. 0 = a data block transfer is not yet finished. cleared when reading the mci_sr. 1 = a data block transfer has ended, including the crc16 status transmission. in pdc mode (pdcmode=1), the flag is set when the crc status of the last block has been transmitted (txbufe already set). otherwise (pdcmode=0), the flag is set for each transmitted crc status. refer to the mmc or sd sp ecification for more details concerning the crc status. ? dtip: data transfer in progress 0 = no data transfer in progress. 1 = the current data tran sfer is still in progress, including crc16 calculatio n. cleared at the end of the crc16 calculation. ? notbusy: mci not busy this flag must be used only for write operations. a block write operation uses a simple busy signalling of the write operat ion duration on the data (dat0) line: during a data transfer block, if the card does not have a free data receive buffer, the card indicates this condition by pulling down the dat a line (dat0) to low. the card stops pulling down the data line as soon as at least one receive buffer for the defined data transfer block length becomes free. the notbusy flag allows to deal with these different states. 31 30 29 28 27 26 25 24 unreovre?????? 23 22 21 20 19 18 17 16 ? dtoe dcrce rtoe rende rcrce rdire rinde 15 14 13 12 11 10 9 8 txbuferxbuff????sdioirqbsdioirqa 76543210 endtx endrx notbusy dtip blke txrdy rxrdy cmdrdy
644 6289d?atarm?3-oct-11 at91sam9r64/rl64 0 = the mci is not ready for new data transfer. cleared at the end of the card response. 1 = the mci is ready for new data transfer. set when the busy state on the data line has ended. this corresponds to a free internal data receive buffer of the card. refer to the mmc or sd specification for more details concerning the busy behavior. ? endrx: end of rx buffer 0 = the receive counter register has not reached 0 since the last write in mci_rcr or mci_rncr. 1 = the receive counter register has reached 0 since the last write in mci_rcr or mci_rncr. ? endtx: end of tx buffer 0 = the transmit counter register has not reached 0 since the last write in mci_tcr or mci_tncr. 1 = the transmit counter register has reached 0 since the last write in mci_tcr or mci_tncr. note: blke and notbusy flags can be used to check that the data has been successfully transmitted on the data lines and not only transferred from the pdc to the mci controller. ? rxbuff: rx buffer full 0 = mci_rcr or mci_rncr has a value other than 0. 1 = both mci_rcr and mci_rncr have a value of 0. ? txbufe: tx buffer empty 0 = mci_tcr or mci_tncr has a value other than 0. 1 = both mci_tcr and mci_tncr have a value of 0. note: blke and notbusy flags can be used to check that the data has been successfully transmitted on the data lines and not only transferred from the pdc to the mci controller. ? rinde: response index error 0 = no error. 1 = a mismatch is detected between the command index sent and the response index received. cleared when writing in the mci_cmdr. ? rdire: response direction error 0 = no error. 1 = the direction bit from card to host in the response has not been detected. ? rcrce: response crc error 0 = no error. 1 = a crc7 error has been detected in the response. cleared when writing in the mci_cmdr. ? rende: response end bit error 0 = no error. 1 = the end bit of the response has not been detected. cleared when writing in the mci_cmdr. ? rtoe: response time-out error 0 = no error. 1 = the response time-out set by maxlat in the mci_cmdr has been exceeded. cleared when writing in the mci_cmdr.
645 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? dcrce: data crc error 0 = no error. 1 = a crc16 error has been detected in the last data block. reset by reading in the mci_sr register. ? dtoe: data time-out error 0 = no error. 1 = the data time-out set by dtocyc and dtomul in mci_ dtor has been exceeded. reset by reading in the mci_sr register. ? ovre: overrun 0 = no error. 1 = at least one 8-bit received data has been lost (not read). cleared when sending a new data transfer command. ? unre: underrun 0 = no error. 1 = at least one 8-bit data has been sent without valid inform ation (not written). cleared when sending a new data transfer command. ? sdioirqa: sdio interrupt for slot a 0 = no interrupt detected on sdio slot a. 1 = a sdio interrupt on slot a has reached. cleared when reading the mci_sr. ? sdioirqb: sdio interrupt for slot b 0 = no interrupt detected on sdio slot b. 1 = a sdio interrupt on slot b has reached. cleared when reading the mci_sr. ? rxbuff: rx buffer full 0 = mci_rcr or mci_rncr has a value other than 0. 1 = both mci_rcr and mci_rncr have a value of 0. ? txbufe: tx buffer empty 0 = mci_tcr or mci_tncr has a value other than 0. 1 = both mci_tcr and mci_tncr have a value of 0.
646 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.12 mci interrupt enable register name: mci_ier access type: write-only ? cmdrdy: command ready interrupt enable ? rxrdy: receiver ready interrupt enable ? txrdy: transmit ready interrupt enable ? blke: data block ended interrupt enable ? dtip: data transfer in progress interrupt enable ? notbusy: data not busy interrupt enable ? endrx: end of receive buffer interrupt enable ? endtx: end of transmit buffer interrupt enable ? sdioirqa: sdio interrupt for slot a interrupt enable ? sdioirqb: sdio interrupt for slot b interrupt enable ? rxbuff: receive buffer full interrupt enable ? txbufe: transmit buffer empty interrupt enable ? rinde: response index error interrupt enable ? rdire: response direction error interrupt enable ? rcrce: response crc error interrupt enable ? rende: response end bit error interrupt enable ? rtoe: response time-out error interrupt enable ? dcrce: data crc error interrupt enable ? dtoe: data time-out error interrupt enable ? ovre: overrun interrupt enable ? unre: underrun interrupt enable 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 unreovre?????? 23 22 21 20 19 18 17 16 ? dtoe dcrce rtoe rende rcrce rdire rinde 15 14 13 12 11 10 9 8 txbuferxbuff????sdioirqbsdioirqa 76543210 endtx endrx notbusy dtip blke txrdy rxrdy cmdrdy
647 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.13 mci interrupt disable register name: mci_idr access type: write-only ? cmdrdy: command ready interrupt disable ? rxrdy: receiver ready interrupt disable ? txrdy: transmit ready interrupt disable ? blke: data block ended interrupt disable ? dtip: data transfer in progress interrupt disable ? notbusy: data not busy interrupt disable ? endrx: end of receive buffer interrupt disable ? endtx: end of transmit buffer interrupt disable ? sdioirqa: sdio interrupt for slot a interrupt disable ? sdioirqb: sdio interrupt for slot b interrupt disable ? rxbuff: receive buffer full interrupt disable ? txbufe: transmit buffer empty interrupt disable ? rinde: response index error interrupt disable ? rdire: response direction error interrupt disable ? rcrce: response crc error interrupt disable ? rende: response end bit error interrupt disable ? rtoe: response time-out error interrupt disable ? dcrce: data crc error interrupt disable ? dtoe: data time-out error interrupt disable ? ovre: overrun interrupt disable ? unre: underrun interrupt disable 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 unreovre?????? 23 22 21 20 19 18 17 16 ? dtoe dcrce rtoe rende rcrce rdire rinde 15 14 13 12 11 10 9 8 txbuferxbuff????sdioirqbsdioirqa 76543210 endtx endrx notbusy dtip blke txrdy rxrdy cmdrdy
648 6289d?atarm?3-oct-11 at91sam9r64/rl64 38.9.14 mci interrupt mask register name: mci_imr access type: read-only ? cmdrdy: command ready interrupt mask ? rxrdy: receiver ready interrupt mask ? txrdy: transmit ready interrupt mask ? blke: data block ended interrupt mask ? dtip: data transfer in progress interrupt mask ? notbusy: data not busy interrupt mask ? endrx: end of receive buffer interrupt mask ? endtx: end of transmit buffer interrupt mask ? sdioirqa: sdio interrupt for slot a interrupt mask ? sdioirqb: sdio interrupt for slot b interrupt mask ? rxbuff: receive buffer full interrupt mask ? txbufe: transmit buffer empty interrupt mask ? rinde: response index error interrupt mask ? rdire: response direction error interrupt mask ? rcrce: response crc error interrupt mask ? rende: response end bit error interrupt mask ? rtoe: response time-out error interrupt mask ? dcrce: data crc error interrupt mask ? dtoe: data time-out error interrupt mask ? ovre: overrun interrupt mask ? unre: underrun interrupt mask 0 = the corresponding interrupt is not enabled. 1 = the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 unreovre?????? 23 22 21 20 19 18 17 16 ? dtoe dcrce rtoe rende rcrce rdire rinde 15 14 13 12 11 10 9 8 txbuferxbuff????sdioirqbsdioirqa 76543210 endtx endrx notbusy dtip blke txrdy rxrdy cmdrdy
649 6289d?atarm?3-oct-11 at91sam9r64/rl64 39. lcd controller (lcdc) 39.1 description the lcd controller (lcdc) consists of logic for transferring lcd image data from an external display buffer to an lcd module with integrated common and segment drivers. the lcd controller supports single and double scan monochrome and color passive stn lcd modules and single scan active tft lcd modules. on monochrome stn displays, up to 16 gray shades are supported using a time-based dithering algorithm and frame rate control (frc) method. this method is also used in colo r stn displays to generate up to 4096 colors. the lcd controller has a display input buffer (fifo) to allow a flexible connection of the exter- nal ahb master interface, and a lookup table to allow palletized display configurations. the lcd controller is programmable in order to support many different requirements such as resolutions up to 2048 x 2048; pixel depth (1, 2, 4, 8, 16, 24 bits per pixel); data line width (4, 8, 16 or 24 bits) and interface timing. the lcd controller is connected to the arm advanced high performance bus (ahb) as a mas- ter for reading pixel data. however, the lcd cont roller interfaces with the ahb as a slave in order to configure its registers.
650 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.2 block diagram figure 39-1. lcd macrocell block diagram timegen pwm display cfg ch-l ahb if ch-u ctrl cfg ahb slave display if ahb master split lut mem fifo mem dma controller lcd controller core configuration if control interface lower push fifo serializer palette dithering output shifter ahb slave ahb slave input interface upper push dma data lcdd display if control signals dvalid dvalid lut mem interface fifo mem interface lut mem interface data pat h
651 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.3 i/o lines description 39.4 product dependencies 39.4.1 i/o lines the pins used for interfacing the lcd controller may be multiplexed with pio lines. the pro- grammer must first program the pio controller to assign the pins to their peripheral function. if i/o lines of the lcd controller are not used by the application, they can be used for other pur- poses by the pio controller. 39.4.2 power management the lcd controller is not continuously clocked. the user must first enable the lcd controller clock in the power management contro ller before using it (pmc_pcer). 39.4.3 interrupt sources the lcd controller interrupt line is connected to one of the internal sources of the advanced interrupt controller. using the lcd controller interrupt requires prior programming of the aic. 39.5 functional description the lcd controller consists of two main blocks ( figure 39-1 on page 650 ), the dma controller and the lcd controller core (lcdc core). the dma controller reads the display data from an external memory through a ahb master interface. the lcd controller core formats the display data. the lcd controller core continuously pumps the pixel data into the lcd module via the lcd data bus (lcdd[23:0]); this bus is timed by the lcddotck, lcdden, lcdhsync, and lcdvsync signals. 39.5.1 dma controller 39.5.1.1 configuration block the configuration block is a set of programmable registers that are used to configure the dma controller operation. these registers are written vi a the ahb slave interface. only word access is allowed. for details on the configuration registers, see ?lcd controller (lcdc) user interface? on page 677 . 39.5.1.2 ahb interface this block generates the ahb transactions. it generates undefined-length incrementing bursts as well as 4-, 8- or 16-beat incrementing bursts. the size of the transfer can be configured in the table 39-1. i/o lines description name description type lcdcc contrast control signal output lcdhsync line synchronous signal (stn) or horizontal synchronous signal (tft) output lcddotck lcd clock signal (stn/tft) output lcdvsync frame synchronous signal (stn) or ve rtical synchronization signal (tft) output lcdden data enable signal output lcdd[23:0] lcd data bus output output
652 6289d?atarm?3-oct-11 at91sam9r64/rl64 brstln field of the dmafrmcfg register. for details on this register, see ?dma frame con- figuration register? on page 682 . 39.5.1.3 channel-u this block stores the base address and the number of words transferred for this channel (frame in single scan mode and upper panel in dual scan mode) since the beginning of the frame. it also generates the end of frame signal. it has two pointers, the base address and the number of words to transfer. when the module receives a new_frame signal, it reloads the number of words to transfer pointer with the size of the frame/panel. when the module receives the new_frame signal, it also reloads the base address with the base address programmed by the host. the size of the frame/panel can be programmed in the frmsize field of the dmafrmcfg register. this size is calculated as follows: x_size = ((linesize +1)*bpp+pixeloff)/32 y_size = (lineval+1) ? linesize is the horizontal size of the display in pixels, minus 1, as programmed in the linesize field of the lcdfrmcfg register of the lcd controller. ?bpp is the number of bits per pixel configured. ?pixeloff is the pixel offset for 2d addressing, as programmed in the dma2dcfg register. applicable only if 2d addressing is being used. ? lineval is the vertical size of the display in pixels, minus 1, as programmed in the lineval field of the lcdfrmcfg register of the lcd controller. note: x_size is calculated as an up-rounding of a division by 32. (this can also be done adding 31 to the dividend before using an integer division by 32). when using the 2d-addressing mode (see ?2d memory addressing? on page 674 ), it is important to note that the above calculation must be exe- cuted and the frmsize field programmed with ever y movement of the displaying window, since a change in the pixeloff field can change the resulting frmsize value. 39.5.1.4 channel-l this block has the same functionality as channel- u, but for the lower panel in dual scan mode only. 39.5.1.5 control this block receives the request signals from the lcdc core and generates the requests for the channels. frame_size x_size*y_size 32 ------------------------------------- - =
653 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.5.2 lcd controller core 39.5.2.1 configuration block the configuration block is a set of programmable registers that are used to configure the lcdc core operation. these registers are written via the ahb slave interface. only word access is allowed. the description of the configuration registers can be found in ?lcd controller (lcdc) user interface? on page 677 . 39.5.2.2 datapath the datapath block contains five submodules: fif o, serializer, palette, dithering and shifter. the structure of the datapath is shown in figure 39-2 . figure 39-2. datapath structure this module transforms the data read from the memory into a format according to the lcd mod- ule used. it has four different interfaces: the input interface, the output interface, the configuration interface and the control interface. ? the input interface connects the datapath with the dma controller. it is a dual fifo interface with a data bus and two push lines that are used by the dma controller to fill the fifos. fifo serializer palette dithering output shifter input interface output interface configuration if control interface
654 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? the output interface is a 24-bit data bus. the configuration of this interface depends on the type of lcd used (tft or stn, single or dual scan, 4-bit, 8-bit, 16-bit or 24-bit interface). ? the configuration interface connects the datapath with the configuration block. it is used to select between the different datapath configurations. ? the control interface connects the datapath with the timing generation block. the main control signal is the data-request signal, used by the timing generation module to request new data from the datapath. the datapath can be characterized by two parameters: initial_latency and cycles_per_data. the parameter initial_latency is defin ed as the number of lcdc core clock cycles until the first data is available at the output of the datapath. th e parameter cycles_per_data is the minimum num- ber of lcdc core clock cycles between two consecutive data at the output interface. these parameters are different for the different configurations of the lcd controller and are shown in table 39-2 . 39.5.2.3 fifo the fifo block buffers the input data read by the dma module. it contains two input fifos to be used in dual scan configuration that are configured as a single fifo when used in single scan configuration. the size of the fifos allows a wide range of architectures to be supported. the upper threshold of the fifos can be configured in the fifoth field of the lcdfifo regis- ter. the lcdc core will request a dm a transfer when the number of words in each fifo is less than fifoth words. to avoid overwriting in the fifo and to maximize the fifo utilization, the fifoth should be programmed with: fifoth (in words) = 512 - (2 x dma_burst_length + 3) where: ? 512 is the effective size of the fifo in words. it is the total fifo memory size in single scan mode and half that size in dual scan mode. ? dma_burst_length is the burst length of the transfers made by the dma in words. table 39-2. datapath parameters configuration initial_latency cycles_per_data distype scan ifwidth tft 9 1 stn mono single 4 13 4 stn mono single 8 17 8 stn mono dual 8 17 8 stn mono dual 16 25 16 stn color single 4 11 2 stn color single 8 12 3 stn color dual 8 14 4 stn color dual 16 15 6
655 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.5.2.4 serializer this block serializes the data read from memory. it reads words from the fifo and outputs pix- els (1 bit, 2 bits, 4 bits, 8 bits, 16 bits or 24 bits wide) depending on the format specified in the pixelsize field of the lcdcon2 register. it also adapts the memory-ordering format. both big- endian and little-endian formats are supported. they are configured in the memor field of the lcdcon2 register. the organization of the pixel data in the memory depends on the configur ation and is shown in table 39-3 and table 39-4 . note: for a color depth of 24 bits per pixel ther e are two different formats supported: packed and unpacked. the packed format needs less memory but has some limitations when working in 2d addressing mode ( see ?2d memory addressing? on page 674. ). table 39-3. little endian memory organization mem addr 0x3 0x2 0x1 0x0 bit313029282726252423222120191817161514131211109876543210 pixel 1bpp313029282726252423222120191817161514131211109876543210 pixel 2bpp15141312111098765 43210 pixel 4bpp76543210 pixel 8bpp 3 2 1 0 pixel 16bpp 10 pixel 24bpp packed 10 pixel 24bpp packed 21 pixel 24bpp packed 32 pixel 24bpp unpacked not used 0
656 6289d?atarm?3-oct-11 at91sam9r64/rl64 table 39-4. big endian memory organization mem addr 0x3 0x2 0x1 0x0 bit313029282726252423222120191817161514131211109876543210 pixel 1bpp 012345678910111213141516171819202122232425262728293031 pixel 2bpp 0123456789101112131415 pixel 4bpp 01234567 pixel 8bpp 0123 pixel 16bpp 01 pixel 24bpp packed 01 pixel 24bpp packed 12 pixel 24bpp packed 23 pixel 24bpp packed 45 pixel 24bpp unpacked not used 0
657 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.5.2.5 palette this block is used to generate the pixel gray or color information in palletized configurations. the different modes with the palletized/non-palletized configuration can be found in table 39-6 . in these modes, 1, 2, 4 or 8 input bits index an entry in the lookup table. the corresponding entry in the lookup table contains the color or gray shade information for the pixel. the lookup table can be accessed by the host in r/w mode to allow the host to program and check the values stored in the palette. it is mapped in the lcd controller configuration memory map. the lut is mapped as 16-bit half-words aligned at word boundaries, only word write table 39-5. wince pixel memory organization mem addr 0x3 0x2 0x1 0x0 bit313029282726252423222120191817161514131211109 8 76543210 pixel 1bpp 242526272829303116171819202122238 9 10111213141501234567 pixel 2bpp 1213141589101145670133 pixel 4bpp 67452301 pixel 8bpp 3210 pixel 16bpp 10 pixel 24bpp packed 10 pixel 24bpp packed 21 pixel 24bpp packed 32 pixel 24bpp unpacked not used 0 table 39-6. palette configurations configuration palette distype pixelsize tft 1, 2, 4, 8 palletized tft 16, 24 non-palletized stn mono 1, 2 palletized stn mono 4 non-palletized stn color 1, 2, 4, 8 palletized stn color 16 non-palletized
658 6289d?atarm?3-oct-11 at91sam9r64/rl64 access is allowed (the 16 msb of the bus are not used). for the detailed memory map, see table 39-13 on page 677 . the lookup table contains 256 16 -bit wide entries. the 256 entries are chosen by the program- mer from the 2 16 possible combinations. for the structure of each lut entry, see table 39-7 . in stn monochrome, only the four most signifi cant bits of the red value are used (16 gray shades). in stn color, only the four most signi ficant bits of the blue, green and red value are used (4096 colors). in tft mode, all the bits in the blue, green and red values are used (32768 colors). in this mode, there is also a common intensity bit that can be used to double the possible colors. this bit is the least significant bit of each color component in the lcdd interface (lcdd[18], lcdd[10], lcdd[2]). the lcdd unused bits are tied to 0 when tft palletized configurations are used (lcdd[17:16], lcdd[ 9:8], lcdd[1:0]). 39.5.2.6 dithering the dithering block is used to generate the shades of gray or color when the lcd controller is used with an stn lcd module. it uses a time-based dithering algorithm and frame rate con- trol method. the frame rate control varies the duty cycle for which a given pixel is turned on, giving the dis- play an appearance of multiple shades. in order to reduce the flicker noise caused by turning on and off adjacent pixels at the same time, a time-based dithering algorithm is used to vary the pattern of adjacent pixels every frame. this algorithm is expressed in terms of dithering pattern registers (dp_i) and considers not only the pixel gray level number, but also its horizontal coordinate. table 39-8 shows the correspondences between the gray levels and the duty cycle. table 39-7. lookup table structure in the memory address data output [15:0] 00 intensity_bit_0 blue_value_0[4:0] green_value_0[4:0] red_value_0[4:0] 01 intensity_bit_1 blue_value_1[4:0] green_value_1[4:0] red_value_1[4:0] ... fe intensity_bit_254 blue_value_254[4: 0] green_value_254[4:0] red_value_254[4:0] ff intensity_bit_255 blue_value_255[4: 0] green_value_255[4:0] red_value_255[4:0] table 39-8. dithering duty cycle gray level duty cycle pattern register 15 1 - 14 6/7 dp6_7 13 4/5 dp4_5 12 3/4 dp3_4 11 5/7 dp5_7 10 2/3 dp2_3 93/5dp3_5
659 6289d?atarm?3-oct-11 at91sam9r64/rl64 the duty cycles for gray levels 0 and 15 are 0 and 1, respectively. the same dp_i register can be used for the pairs for which the sum of duty cycles is 1 (e.g., 1/7 and 6/7). the dithering pattern for the first pair member is the inversion of the one for the second. the dp_i registers contain a series of 4-bit patterns. the (3-m) th bit of the pattern determines if a pixel with horizontal coordinate x = 4n + m (n is an integer and m ranges from 0 to 3) should be turned on or off in the current frame. the operation is shown by the examples below. consider the pixels a, b, c and d with the horizontal coordinates 4*n+0, 4*n+1, 4*n+2 and 4*n+3, respectively. the four pixels should be displayed in gray level 9 (duty cycle 3/5) so the register used is dp3_5 =?1010 0101 1010 0101 1111?. the output sequence obtained in the data output for monochrome mode is shown in table 39-9 . consider now color display mode and two pixels p0 and p1 with the horizontal coordinates 4*n+0, and 4*n+1. a color pixel is composed of three components: {r, g, b}. pixel p0 will be dis- played sending the color components {r0, g0, b0 } to the display. pixe l p1 will be displayed sending the color components {r1, g1, b1}. suppose that the data read from memory and mapped to the lookup tables corresponds to shade level 10 for the three color components of 84/7dp4_7 7 1/2 ~dp1_2 6 3/7 ~dp4_7 5 2/5 ~dp3_5 4 1/3 ~dp2_3 3 1/4 ~dp3_4 2 1/5 ~dp4_5 1 1/7 ~dp6_7 00- table 39-9. dithering algorithm for monochrome mode frame number pattern pixel a pixel b pixel c pixel d n1010onoffonoff n+1 0101 off on off on n+2 1010 on off on off n+3 0101 off on off on n+41111onononon n+5 1010 on off on off n+6 0101 off on off on n+7 1010 on off on off ... ... ... ... ... ... table 39-8. dithering duty cycle gray level duty cycle pattern register
660 6289d?atarm?3-oct-11 at91sam9r64/rl64 both pixels, with the dithering pattern to apply to all of them being dp2_3 = ?1101 1011 0110?. table 39-10 shows the output sequence in the data output bus for single scan configurations. (in dual scan configuration, each panel data bus acts like in the equivalent single scan configuration.) note: ri = red pixel component on. gi = green pixel component on. bi = blue pixel component on. ri = red pixel component off. gi = green pixel component off. bi = blue pixel component off. 39.5.2.7 shifter the fifo, serializer, palette and dithering modules process one pixel at a time in monochrome mode and three sub-pixels at a time in color mode (r,g,b components). this module packs the data according to the output interfac e. this interface can be programmed in the distype, scanmod, and ifwidth fields of the ldccon3 register. the distype field selects between tft, stn mo nochrome and stn color display. the scan- mode field selects between single and dual sc an modes; in tft mode, only single scan is supported. the ifwidth field configures the width of the interface in stn mode: 4-bit (in single scan mode only), 8-bit and 16-bit (in dual scan mode only). for a more detailed description of the fields, see ?lcd controller (lcdc) user interface? on page 677 . table 39-10. dithering algorithm for color mode frame signal shadow level bit used dithering pattern 4-bit lcdd 8-bit lcdd output n red_data_0 1010 3 1101 lcdd[3] lcdd[7] r0 n green_data_0 1010 2 1101 lcdd[2] lcdd[6] g0 n blue_data_0 1010 1 1101 lcdd[1] lcdd[5] b0 n red_data_1 1010 0 1101 lcdd[0] lcdd[4] r1 n green_data_1 1010 3 1101 lcdd[3] lcdd[3] g1 n blue_data_1 1010 2 1101 lcdd[2] lcdd[2] b1 ?? ? ? ? ? ?? n+1 red_data_0 1010 3 1011 lcdd[3] lcdd[7] r0 n+1 green_data_0 1010 2 1011 lcdd[2] lcdd[6] g0 n+1 blue_data_0 1010 1 1011 lcdd[1] lcdd[5] b0 n+1 red_data_1 1010 0 1011 lcdd[0] lcdd[4] r1 n+1 green_data_1 1010 3 1011 lcdd[3] lcdd[3] g1 n+1 blue_data_1 1010 2 1011 lcdd[2] lcdd[2] b1 ?? ? ? ? ? ?? n+2 red_data_0 1010 3 0110 lcdd[3] lcdd[7] r0 n+2 green_data_0 1010 2 0110 lcdd[2] lcdd[6] g0 n+2 blue_data_0 1010 1 0110 lcdd[1] lcdd[5] b0 n+2 red_data_1 1010 0 0110 lcdd[0] lcdd[4] r1 n+2 green_data_1 1010 3 0110 lcdd[3] lcdd[3] g1 n+2 blue_data_1 1010 2 0110 lcdd[2] lcdd[2] b1 ?? ? ? ? ? ??
661 6289d?atarm?3-oct-11 at91sam9r64/rl64 for a more detailed description of the lcd interface, see ?lcd interface? on page 666 . 39.5.2.8 timegen the time generator block generates the control signals lcddotck, lcdhsync, lcdvsync, lcdden, used by the lcd module. this block is programmable in order to support different types of lcd modules and obtain the output clock signals, which are derived from the lcdc core clock. the lcddotck signal is used to clock the data into the lcd drivers' shift register. the data is sent through lcdd[23:0] synchr onized by default with lcddotck falling edge (rising edge can be selected). the clkval field of lcdcon1 register controls the ra te of this signal. the divisor can also be bypassed with the by pass bit in the lcdcon1 register. in this case, the rate of lcddotck is equal to the frequency of the lcdc core clock. the minimum period of the lcd- dotck signal depends on the configuration. this information can be found in table 39-11 . the lcddotck signal has two different timings that are selected with the clkmod field of the lcdcon2 register: ? always active (used with tft lcd modules) ? active only when data is ava ilable (used with stn lcd modules) the lcdden signal indicates valid data in the lcd interface. after each horizontal line of data has been shifte d into the lcd, the lcdhsync is asserted to cause the line to be displayed on the panel. the following timing parameters can be configured: table 39-11. minimum lcddotck period in lcdc core clock cycles configuration lcddotck period distype scan ifwidth tft 1 stn mono single 4 4 stn mono single 8 8 stn mono dual 8 8 stn mono dual 16 16 stn color single 4 2 stn color single 8 2 stn color dual 8 4 stn color dual 16 6 f lcddotck f lcdc_clock 2 clkval -------------------------------- =
662 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? vertical to horizontal delay (vhdly): the delay between begin_of_line and the generation of lcdhsync is configurable in the vhdly field of the lcdtim1 register. the delay is equal to (vhdly+1) lcddotck cycles. ? horizontal pulse width (hpw): the lcdhsync pu lse width is configurable in hpw field of lcdtim2 register. the width is eq ual to (hpw + 1) lcddotck cycles. ? horizontal back porch (hbp): the delay bet ween the lcdhsync fallin g edge and the first lcddotck rising edge with valid data at the l cd interface is configurable in the hbp field of the lcdtim2 register. the delay is equal to (hbp+1) lcddotck cycles. ? horizontal front porch (hfp): the delay between end of valid data and the end of the line is configurable in the hfp field of the lcdtim2 register. the delay is equal to (hfp+1) lcddotck cycles. there is a limitation in the minimum values of vhdly, hpw and hbp parameters imposed by the initial latency of the datapath. the total de lay in lcdc clock cycles must be higher than or equal to the latency column in table 39-2 on page 654 . this limitation is given by the following formula: 39.5.2.9 equation 1 where: ? vhdly, hpw, hbp are the value of the fields of lcdtim1 and lcdtim2 registers ? pclk_period is the period of lcddotck signal measured in lcdc clock cycles ? dpath_latency is the datapath latency of the configuration, given in table 39-2 on page 654 the lcdvsync is asserted once per frame. this signal is asserted to cause the lcd's line pointer to start over at the top of the display. the timing of this signal depends on the type of lcd: stn or tft lcd. in stn mode, the high phase corresponds to the complete first line of the frame. in stn mode, this signal is synchronized with the firs t active lcddotck rising edge in a line. in tft mode, the high phase of this signal starts at the beginning of the first line. the following timing parameters can be selected: ? vertical pulse width (vpw): lcdvsync pulse wid th is configurable in vpw field of the lcdtim1 register. the pulse width is equal to (vpw+1) lines. ? vertical back porch: number of inactive lines at the beginning of the frame is configurable in vbp field of lcdtim1 register. the number of inactive lines is e qual to vbp. this field should be programmed with 0 in stn mode. ? vertical front porch: number of inactive lines at the end of the frame is configurable in vfp field of lcdtim2 register. the number of inactive lines is equal to vfp. this field should be programmed with 0 in stn mode. there are two other parameters to configure in this module, the hozval and the lineval fields of the lcdfrmcfg: ? hozval configures the number of active l cddotck cycles in each line. the number of active cycles in each line is equal to (hozval+1) cycles. the minimum value of this parameter is 1. vhdly hpw hbp 3 +++ () pclk_period dpath_latency
663 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? lineval configures the number of active lines per frame. this number is equal to (lineval+1) lines. the minimum value of this parameter is 1. figure 39-3 , figure 39-4 and figure 39-5 show the timing of lcddotck, lcdden, lcdh- sync and lcdvsync signals: figure 39-3. stn panel timing, clkmod 0 lcdhsync lcdvsync lcdden lcddotck lcdd frame period vhdly+ hbp+1 hpw+1 hfp+1 hozval+1 lcddotck lcdd 1 pclk 1/2 pclk 1/2 pclk line period lcdvsync lcdhsync lcdden
664 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 39-4. tft panel timing, clkmod = 0, vpw = 2, vbp = 2, vfp = 1 figure 39-5. tft panel timing (line expanded view), clkmod=1 usually the lcd_frm rate is ab out 70 hz to 75 hz. it is given by the following equation: where: ? hozval determines de number of lcddotck cycles per line ? lineval determines the nu mber of lcdhsync cycles per frame, according to the expressions shown below: in stn mode: vhdly+1 hbp+1 hpw+1 hfp+1 hozval+1 lcddotck lcdd 1 pclk 1/2 pclk 1/2 pclk line period lcdvsync lcdhsync lcdden (vpw+1) lines lcdvsync lcddotck lcdd lcdden vhdly+1 lcdhsync vertical fron t porch = vfp lines vertical back porch = vbp lines frame period vhdly+1 hbp+1 hpw+1 hfp+1 hozval+1 lcddotck lcdd 1 pclk 1/2 pclk 1/2 pclk line period lcdvsync lcdhsync lcdden 1 f lcdvsync --------------------------- - vhdly hpw hbp hozval hfp 5 +++ ++ f lcddotck --------------------------------------------------------------------------------------------------------------------- ?? ?? vbp lineval vfp 1 +++ () = hozval horizontal_display_size number_data_lines -------------------------------------------------------------- - 1 ? =
665 6289d?atarm?3-oct-11 at91sam9r64/rl64 in monochrome mode, horizontal_display_size is equal to the number of horizontal pixels. the number_data_lines is equal to the number of bits of the interface in single scan mode; number_data_lines is equal to half the bits of the interface in dual scan mode. in color mode, horizontal_display_size equals three times the number of horizontal pixels. in tft mode: the frame rate equation is used first without considering the clock periods added at the end beginning or at the end of each line to determine, approximately, the lcddotck rate: with this value, the clkval is fixed, as well as the corresponding lcddotck rate. then select vhdly, hpw and hbp according to the type of lcd used and ?equation 1? on page 662 . finally, the frame rate is adjusted to 70 hz - 75 hz with the hfp value: the line counting is controlled by the read-only field linecnt of lcdcon1 register. the line- cnt field decreases by one unit at each falling edge of lcdhsync. 39.5.2.10 display this block is used to configure the polarity of the data and control signals. the polarity of all clock signals can be configured by lcdcon2[12:8] register setting. this block also generates the lcd_pwr signal internally used to control the state of the lcd pins and to turn on and off by software the lcd module. this signal is controlled by the pwrcon register and respects the number of frames configured in the guard_time field of pwrcon register (pwrcon[7:1]) between the write access to lcd_pwr field (pwrcon[0]) and the activation/deactivation of lcd_pwr signal. the minimum value for the guard_time field is one frame. this gives the dma controller enough time to fill the fifos before the start of data transfer to the lcd. 39.5.2.11 pwm this block generates the lcd co ntrast control signal (lcdcc) to make possible the control of the display's contrast by software. this is an 8- bit pwm (pulse width modulation) signal that can be converted to an analog voltage with a simple passive filter. the pwm module has a free-running counter whose value is compared against a compare reg- ister (constrast_val register). if the value in the counter is less than that in the register, the lineval vertical_display_size 1 ? = hozval horizontal_display_size 1 ? = lineval vertical_display_size 1 ? = f lcd_pclk hozval 5 + () f lcd_vsync lineval 1 + () () = hfp f lcddotck 1 f lcdvsync lineval vbp vfp 1 +++ () ------------------------------------------------------------------------------------------------------------- - vhdly vpw vbp hozval 5 +++ + () ? =
666 6289d?atarm?3-oct-11 at91sam9r64/rl64 output brings the value of the polarity (pol) bit in the pwm control register: contrast_ctr. otherwise, the opposite value is output. thus, a periodic waveform with a pulse width propor- tional to the value in the compare register is generated. due to the comparison mechanism, the output pulse has a width between zero and 255 pwm counter cycles. thus by adding a simple passive filter outside the chip, an analog voltage between 0 and (255/256) vdd can be obtained (for the positive polarity case, or between (1/256) vdd and vdd for the negative polarity case). other voltage values can be obtained by adding active external circuitry. for pwm mode, the frequency of the counter can be adjusted to four different values using field ps of contrast_ctr register. 39.5.3 lcd interface the lcd controller interfaces with the lcd module through the lcd interface ( table 39-12 on page 671 ). the controller supports the following interface configurations: 24-bit tft single scan, 16-bit stn dual scan mono (color), 8-bit stn dual (single) scan mono (color), 4-bit sin- gle scan mono (color). a 4-bit single scan stn display us es 4 parallel data lines to shift data to successive single hori- zontal lines one at a time until the entire frame has been shifted and transferred. the 4 lsb pins of lcd data bus (lcdd [3:0]) can be directly connected to the lcd driver; the 20 msb pins (lcdd [23:4]) are not used. an 8-bit single scan stn display uses 8 parallel data lines to shift data to successive single hor- izontal lines one at a time until the entire frame has been shifted and transferred. the 8 lsb pins of lcd data bus (lcdd [7:0]) can be directly connected to the lcd driver; the 16 msb pins (lcdd [23:8]) are not used. an 8-bit dual scan stn display uses two sets of 4 parallel data lines to shift data to successive upper and lower panel horizontal lines one at a time until the entire frame has been shifted and transferred. the bus lcdd[3:0] is connected to the upper panel data lines and the bus lcdd[7:4] is connected to the lower panel data lines. the re st of the lcd data bus lines (lcdd[23:8]) are not used. a 16-bit dual scan stn display uses two sets of 8 parallel data lines to shift data to successive upper and lower panel horizontal lines one at a time until the entire frame has been shifted and transferred. the bus lcdd[7:0] is connected to the upper panel data lines and the bus lcdd[15:8] is connected to the lower panel data lines. the rest of the lcd data bus lines (lcdd[23:16]) are not used. stn mono displays require one bit of image data per pixel. stn color displays require three bits (red, green and blue) of image data per pixel, resu lting in a horizontal shift register of length three times the number of pixels per horizontal line. this rgb or monochrome data is shifted to the lcd driver as consecutive bits via the parallel data lines. a tft single scan display uses up to 24 parallel data lines to shift data to successive horizontal lines one at a time until the entire frame has been shifted and transferred. the 24 data lines are divided in three bytes that define the color shade of each color component of each pixel. the lcdd bus is split as lcdd[23:16 ] for the blue component, lcdd [15:8] for the green component and lcdd[7:0] for the red component. if the lcd module has lower color resolution (fewer bits per color component), only the most significant bits of each component are used.
667 6289d?atarm?3-oct-11 at91sam9r64/rl64 all these interfaces are shown in figure 39-6 to figure 39-10 . figure 39-6 on page 667 shows the 24-bit single scan tft display timing; figure 39-7 on page 667 shows the 4-bit single scan stn display timing for monochrome and color modes; figure 39-8 on page 668 shows the 8-bit single scan stn display timing for monochrome and color modes; figure 39-9 on page 669 shows the 8-bit dual scan stn display timing for monochrome and color modes; figure 39-10 on page 670 shows the 16-bit dual scan stn display timing for monochrome and color modes. figure 39-6. tft timing (first line expanded view) figure 39-7. single scan monochrome and color 4-bit panel timing (first line expanded view) lcdvsync lcdden lcdhsync lcddotck lcdd [24:16] lcdd [15:8] lcdd [7:0] g0 b0 r0 g1 b1 r1 lcdvsync lcdden lcdhsync lcddotck lcdd [3] lcdd [2] lcdd [1] lcdd [0] p1 p0 p2 p3 p5 p4 p6 p7 lcdvsync lcdden lcdhsync lcddotck lcdd [3] lcdd [2] lcdd [1] lcdd [0] g0 r0 b0 r1 b1 g1 r2 g2
668 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 39-8. single scan monochrome and color 8-bit panel timing (first line expanded view) lcdd [7] lcdd [6] lcdd [5] lcdd [4] p1 p0 p2 p3 p9 p8 p10 p11 lcdd [7] lcdd [6] lcdd [5] lcdd [4] g0 r0 b0 r1 r3 b2 g3 b3 lcdd [3] lcdd [2] lcdd [1] lcdd [0] p5 p4 p6 p7 p13 p12 p14 p15 lcdd [3] lcdd [2] lcdd [1] lcdd [0] b1 g1 r2 g2 g4 r4 b4 r5 lcdvsync lcdden lcdhsync lcddotck lcdvsync lcdden lcdhsync lcddotck
669 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 39-9. dual scan monochrome and color 8-bit panel timing (first line expanded view) lcdd [7] lcdd [6] lcdd [5] lcdd [4] lp1 lp0 l2 l3 lp5 lp4 lp6 lp7 lcdd [7] lcdd [6] lcdd [5] lcdd [4] lg0 lr0 lb0 lr1 lb1 lg1 lr2 lg2 lcdd [3] lcdd [2] lcdd [1] lcdd [0] up1 up0 up2 up3 up5 up4 up6 up7 lcdd [3] lcdd [2] lcdd [1] lcdd [0] ug0 ur0 ub0 ur1 ub1 ug1 ur2 ug2 lower pane upper pane lower pane upper pane lcdvsync lcdden lcdhsync lcddotck lcdvsync lcdden lcdhsync lcddotck
670 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 39-10. dual scan monochrome and color 16-bit pa nel timing (first line expanded view) lcdvsync lcdden lcdhsync lcddotc k lcdd [15] lcdd [ 14 ] lcdd [13] lcdd [12] lp1 lp0 lp2 lp3 lp9 lp8 lp10 lp11 lcdd [15] lcdd [ 14 ] lcdd [13] lcdd [12] lg0 lr0 lb0 lr1 lr3 lb2 lg3 lb3 lcdd [11] lcdd [ 10 ] lcdd [9] lcdd [8] lp5 lp4 lp6 lp7 lp13 lp12 lp14 lp15 lcdd [11] lcdd [ 10 ] lcdd [9] lcdd [8] lb1 lg1 lr2 lg2 lg4 lr4 lb4 lr5 lcdd [7] lcdd [ 6 ] lcdd [5] lcdd [4] ug0 ur0 ub0 ur1 ur3 ub2 ug3 ub3 lcdd [3] lcdd [ 2 ] lcdd [1] lcdd [0] ub1 ug1 ur2 ug2 ug4 ur4 ub4 ur5 lower panel upper panel lc dd [7] lcdd [ 6 ] lcdd [5] lcdd [4] up1 up0 up2 up3 up9 up8 up10 up11 lcdd [3] lcdd [ 2 ] lcdd [1] lcdd [0] up5 up4 up6 up7 up13 up12 up14 up15 lower panel upper panel lcdvsync lcdden lc dhsync lcddotc k
671 6289d?atarm?3-oct-11 at91sam9r64/rl64 table 39-12. lcd signal multiplexing lcd data bus 4-bit stn single scan (mono, color) 8-bit stn single scan (mono, color) 8-bit stn dual scan (mono, color) 16-bit stn dual scan (mono, color) 24-bit tft 16-bit tft lcdd[23] lcd_blue7 lcd_blue4 lcdd[22] lcd_blue6 lcd_blue3 lcdd[21] lcd_blue5 lcd_blue2 lcdd[20] lcd_blue4 lcd_blue1 lcdd[19] lcd_blue3 lcd_blue0 lcdd[18] lcd_blue2 intensity bit lcdd[17] lcd_blue1 lcdd[16] lcd_blue0 lcdd[15] lcdlp7 lcd_green7 lcd_green4 lcdd[14] lcdlp6 lcd_green6 lcd_green3 lcdd[13] lcdlp5 lcd_green5 lcd_green2 lcdd[12] lcdlp4 lcd_green4 lcd_green1 lcdd[11] lcdlp3 lcd_green3 lcd_green0 lcdd[10] lcdlp2 lcd_green2 intensity bit lcdd[9] lcdlp1 lcd_green1 lcdd[8] lcdlp0 lcd_green0 lcdd[7] lcd7 lcdlp3 lcdup7 lcd_red7 lcd_red4 lcdd[6] lcd6 lcdlp2 lcdup6 lcd_red6 lcd_red3 lcdd[5] lcd5 lcdlp1 lcdup5 lcd_red5 lcd_red2 lcdd[4] lcd4 lcdlp0 lcdup4 lcd_red4 lcd_red1 lcdd[3] lcd3 lcd3 lcdup3 lcdup3 lcd_red3 lcd_red0 lcdd[2] lcd2 lcd2 lcdup2 lcdup2 lcd_red2 intensity bit lcdd[1] lcd1 lcd1 lcdup1 lcdup1 lcd_red1 lcdd[0] lcd0 lcd0 lcdup0 lcdup0 lcd_red0
672 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.6 interrupts the lcd controller generates six different irqs. a ll the irqs are synchronized with the internal lcd core clock. the irqs are: ? dma memory error irq. generated when the dma receives an error response from an ahb slave while it is doing a data transfer. ? fifo underflow irq. generated when the serializer tries to read a word from the fifo when the fifo is empty. ? fifo overwrite irq. generated when the dma co ntroller tries to write a word in the fifo while the fifo is full. ? dma end of frame irq. generated when the dma controller updates the frame base address pointers. this irq can be used to implement a double-buffer technique. for more information, see ?double-buffer technique? on page 673 . ? end of line irq. this irq is generated when the lineblank period of each line is reached and the dma controller is in inactive state. ? end of last line irq. this irq is generated when the lineblank period of the last line of the current frame is reached and the dma controller is in inactive state. each irq can be individually enabled, disabled or cleared, in the lcd_ier (interrupt enable register), lcd_idr (interrupt disable register ) and lcd_icr (interrupt clear register) regis- ters. the lcd_imr register contains the mask value for each irq source and the ldc_isr contains the status of each irq source. a more detailed description of these registers can be found in ?lcd controller (lcdc) user interface? on page 677 . 39.7 configuration sequence the dma controller starts to transfer image dat a when the lcdc core is activated (write to lcd_pwr field of pwrcon register). thus, t he user should config ure the lcdc core and configure and enable the dma controller prior to activation of the lcd controller. in addition, the image data to be shows should be available when the lcdc core is activated, regardless of the value programmed in the guard_time field of the pwrcon register. to disable the lcd controller, the user should disable the lcdc core and then disable the dma controller. the user should not enable lip again unt il the lcdc core is in idle state. this is checked by reading the lcd_busy bit in the pwrcon register. the initialization sequence that the user should follow to make the lcdc work is: ? create or copy the first image to show in the display buffer memory. ? if a palletized mode is used, create and store a palette in the internal lcd palette memory( see ?palette? on page 657. ? ? configure the lcd controller core without enabling it: ? lcdcon1 register: program the c lkval and bypass fields: these fields control the pixel clock divisor that is used to generate the pixel clock lcddotck. the value to program depends on the lcd core clock and on the type and size of the lcd module used. there is a minimum value of the lcddotck clock period that depends on the lcd controller configuration, this minimum value can be found in table 39-11 on page 661 . the equations that are used to calculate the value of the pixel clock divisor can be found at the end of the section ?timegen? on page 661
673 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? lcdcon2 register: program its fields fo llowing their descriptions in the lcd controller user interface se ction below and considering the type of lcd module used and the desired working mode. consider that not all combinations are possible. ? lcdtim1 and lcdtim2 registers: program their fields according to the datasheet of the lcd module used and with the help of the timegen section in page 10. note that some fields are not applicable to stn modules and must be programmed with 0 values. note also that there is a limitation on the minimum value of vhdly, hpw, hbp that depends on the configuration of the lcdc. ? lcdfrmcfg register: program the dimensions of the lcd module used. ? lcdfifo register: to program it, use the formula in section ?fifo? on page 654 ? dp1_2 to dp6_7 registers: they are only used for stn displays. they contain the dithering patterns used to generate gray shades or colors in these modules. they are loaded with recommended patterns at reset, so it is not necessary to write anything on them. they can be used to improve the image quality in the display by tuning the patterns in each application. ? pwrcon register: this register controls the power-up sequence of the lcd, so take care to use it properly. do not enable the lcd (writing a 1 in lcd_pwr field) until the previous steps and the configuration of the dma have been finished. ? contrast_ctr and contrast_val: use this registers to adjust the contrast of the display, when the lcdcc line is used. ? ? configure the dma controller. the user should configure the base address of the display buffer memory, the size of the ahb transaction and the size of the display image in memory. when the dma is configured the user should enable the dma. to do so the user should configure the following registers: ? dmabaddr1 and dmabaddr2 registers: in single scan mode only dmabaddr1 register must be configured with the base address of the display buffer in memory. in dual scan mode dmabaddr1 should be co nfigured with the base address of the upper panel display buffer and dmabaddr2 should be configured with the base address of the lower panel display buffer. ? dmafrmcfg register: program the frmsize field. note that in dual scan mode the vertical size to use in the calculation is that of each panel. respect to the brstln field, a recommended value is a 4-word burst. ? dmacon register: once both the lcd cont roller core and the dma controller have been configured, enable the dma controller by writing a ?1? to the dmaen field of this register. if using a dual scan module or the 2d addressing feature, do not forget to write the dmaupdt bit after every change to the set of dma configuration values. ? dma2dcfg register: required only in 2d memory addressing mode (see ?2d memory addressing? on page 674 ). ? finally, enable the lcd controller core by writing a ?1? in the lcd_pwr field of the pwrcon register and do any other action that may be required to turn the lcd module on. 39.8 double-buffer technique the double-buffer technique is used to avoid flickering while the frame being displayed is updated. instead of using a single buffer, there are two different buffers, the backbuffer (back- ground buffer) and the primary buffer (the buffer being displayed).
674 6289d?atarm?3-oct-11 at91sam9r64/rl64 the host updates the backbuffer while the lcd controller is displaying the primary buffer. when the backbuffer has been updated the host updates the dma base address registers. when using a dual panel lcd module, both base address pointers should be updated in the same frame. there are two possibilities: ? check the dmafrmptx register to ensure that there is enough time to update the dma base address registers before the end of frame. ? update the frame base address registers when the end of frame irq is generated. once the host has updated the frame base address registers and the next dma end of frame irq arrives, the backbuffer and the primary buffer are swapped and the host can work with the new backbuffer. when using a dual-panel lcd module, both ba se address pointers should be updated in the same frame. in order to achieve this, the dm aupdt bit in dmacon register must be used to validate the new base address. 39.9 2d memory addressing the lcdc can be configured to work on a frame buffer larger than the actual screen size. by changing the values in a few registers, it is easy to move the displayed area along the frame buf- fer width and height. figure 39-11. frame buffer addressing in order to locate the displayed window within a larger frame buffer, the software must: ? program the dmabaddr1 (dmabaddr2) register (s) to make them point to the word containing the first pixel of the area of interest. ? program the pixeloff field of dma2dcfg register to specify the offset of this first pixel within the 32-bit memory word that contains it. ? define the width of the complete frame buffer by programming in the field addrinc of dma2dcfg register the address increment between the last word of a line and the first word of the next line (in number of 32-bit words). ? enable the 2d addressing mode by writing the dma2den bit in dmacon register. if this bit is not activated, the values in the dma2dcfg register are not considered and the controller assumes that the displayed area occupies a continuous portion of the memory. displayed image frame buffer base word address & pixel offset line-to-line address increment
675 6289d?atarm?3-oct-11 at91sam9r64/rl64 the above configuration can be changed frame to frame, so the displayed window can be moved rapidly. note that the frmsize field of dmafrmcfg register must be updated with any movement of the displaying window. note also that the software must write bit dmaupdt in dmacon register after each configurat ion for it to be accepted by lcdc. note: in 24 bpp packed mode, the dma base address must point to a word containing a complete pixel (possible values of pixeloff are 0 and 8). this me ans that the horizontal origin of the displaying window must be a multiple of 4 pixels or a multiple of 4 pixels minus 1 ( x = 4n or x = 4n-1 , valid ori- gins are pixel 0,3,4,7,8,11,12, etc.).
676 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.10 register configuration guide program the pio controller to enable lcd signals. enable the lcd controller clock in the power manage ment controller. 39.10.1 stn mode example stn color(r,g,b) 320*240, 8-bit single scan, 70 frames/sec, master clock = 60 mhz data rate: 320*240*70*3/8 = 2.016 mhz hozval= ((3*320)/8) - 1 lineval= 240 -1 clkval = (60 mhz/ (2*2.016 mhz)) - 1= 14 lcdcon1= clkval << 12 lcdcon2 = littleendian | singlescan | stncolor | disp8bit| ps8bpp; lcdtim1 = 0; lcdtim2 = 10 | (10 << 21); lcdfrmcfg = (hozval << 21) | lineval; dmafrmcfg = (7 << 24) + (320 * 240 * 8) / 32; 39.10.2 tft mode example this example is based on the nec tft color lcd module nl6448bc20-08 . tft 640*480, 16-bit single scan, 60 frames/sec , pixel clock frequency = [21mhz..29mhz] with a typical value = 25.175 mhz. the master clock must be (2*( n + 1))*pixel clock frequency hozval = 640 - 1 lineval = 480 - 1 if master clock is 50 mhz clkval = (50 mhz/ (2*25.175 mhz)) - 1= 0 vfp = (12 -1), vbp = (31-1), vpw = (2-1), vhdly= (2-1) hfp = (16-1), hbp = (48 -1), hpw= (96-1) lcdcon1= clkval << 12 lcdcon2 = littleendian | clkmod | in vert_clk | invert_line | invert_frm | ps16bpp | singlescan | tft lcdtim1 = vfp | (vbp << 8) | (vpw << 16) | (vhdly << 24) lcdtim2 = hbp | (hpw << 8) | (hfp << 21) lcdfrmcfg = (hozval << 21) | lineval dmafrmcfg = (7 << 24) + (640 * 480* 16) / 32;
677 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11 lcd controller (lcdc) user interface table 39-13. lcd controller (lcdc) user interface offset register register name access reset value 0x0 dma base address register 1 dmabaddr1 r/w 0x00000000 0x4 dma base address register 2 dmabaddr2 r/w 0x00000000 0x8 dma frame pointer register 1 dmafrmpt1 read-only 0x00000000 0xc dma frame pointer register 2 dmafrmpt2 read-only 0x00000000 0x10 dma frame address register 1 dmafrmadd1 read-only 0x00000000 0x14 dma frame address register 2 dmafrmadd2 read-only 0x00000000 0x18 dma frame configuration register dmafrmcfg r/w 0x00000000 0x1c dma control register dmacon r/w 0x00000000 0x20 dma control register dma2dcfg r/w 0x00000000 0x800 lcd control register 1 lcdcon1 r/w 0x00002000 0x804 lcd control register 2 lcdcon2 r/w 0x00000000 0x808 lcd timing register 1 lcdtim1 r/w 0x00000000 0x80c lcd timing register 2 lcdtim2 r/w 0x00000000 0x810 lcd frame configuration register lcdfrmcfg r/w 0x00000000 0x814 lcd fifo register lcdfifo r/w 0x00000000 0x818 reserved ? ? ? 0x81c dithering pattern dp1_2 dp1_2 r/w 0xa5 0x820 dithering pattern dp4_7 dp4_7 r/w 0x5af0fa5 0x824 dithering pattern dp3_5 dp3_5 r/w 0xa5a5f 0x828 dithering pattern dp2_3 dp2_3 r/w 0xa5f 0x82c dithering pattern dp5_7 dp5_7 r/w 0xfaf5fa5 0x830 dithering pattern dp3_4 dp3_4 r/w 0xfaf5 0x834 dithering pattern dp4_5 dp4_5 r/w 0xfaf5f 0x838 dithering pattern dp6_7 dp6_7 r/w 0xf5ffaff 0x83c power control register pwrcon r/w 0x0000000e 0x840 contrast control register contrast_ctr r/w 0x00000000 0x844 contrast value register contrast_val r/w 0x00000000 0x848 lcd interrupt enable register lcd_ier write-only 0x0 0x84c lcd interrupt disable register lcd_idr write-only 0x0 0x850 lcd interrupt mask register lcd_imr read-only 0x0 0x854 lcd interrupt status register lcd_isr read-only 0x0 0x858 lcd interrupt clear register lcd_icr write-only 0x0 0x860 lcd interrupt test register lcd_itr write-only 0 0x864 lcd interrupt raw status register lcd_irr read-only 0
678 6289d?atarm?3-oct-11 at91sam9r64/rl64 0xc00 palette entry 0 lut entry 0 r/w 0xc04 palette entry 1 lut entry 1 r/w 0xc08 palette entry 2 lut entry 2 r/w 0xc0c palette entry 3 lut entry 3 r/w ?? 0xffc palette entry 255 lut entry 255 r/w table 39-13. lcd controller (lcdc) user interface (continued) offset register register name access reset value
679 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.1 dma base address register 1 name: dmabaddr1 access: read/write reset value: 0x00000000 ? baddr-u base address for the upper panel in dual scan mode. base address for the complete frame in single scan mode. if a dual scan configuration is selected in lcdcon2 register or bit dma2den in register dmacon is set, the bit dmaupdt in that same register must be written after writing an y new value to this field in or der to make the dma controller use this new value. 39.11.2 dma base address register 2 name: dmabaddr2 access: read/write reset value: 0x00000000 ? baddr-l base address for the lower panel in dual scan mode only. if a dual scan configuration is selected in lcdcon2 register or bit dma2den in register dmacon is set, the bit dmaupdt in that same register must be written after writing an y new value to this field in or der to make the dma controller use this new value. 31 30 29 28 27 26 25 24 baddr-u 23 22 21 20 19 18 17 16 baddr-u 15 14 13 12 11 10 9 8 baddr-u 76543210 baddr-u 31 30 29 28 27 26 25 24 baddr-l 23 22 21 20 19 18 17 16 baddr-l 15 14 13 12 11 10 9 8 baddr-l 76543210 baddr-l
680 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.3 dma frame pointer register 1 name: dmafrmpt1 access: read-only reset value: 0x00000000 ?frmpt-u current value of frame pointer for the upper panel in dual scan mode. current value of frame pointer for the complete frame in single scan mode. down count from frmsize to 0. note: this register is read-only and contains the current value of the frame pointer (number of wo rds to the end of the frame). it can be used as an estimation of the number of words transferred from memory for the current frame. 39.11.4 dma frame pointer register 2 name: dmafrmpt2 access: read-only reset value : 0x00000000 ?frmpt-l current value of frame pointer for the lower panel in dual scan mode only. down count from frmsize to 0. note: this register is read-only and contains the current value of the frame pointer (number of wo rds to the end of the frame). it can be used as an estimation of the number of words transferred from memory for the current frame. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ?frmpt-u 15 14 13 12 11 10 9 8 frmpt-u 76543210 frmpt-u 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 -frmpt-l 15 14 13 12 11 10 9 8 frmpt-l 76543210 frmpt-l
681 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.5 dma frame address register 1 name: dmafrmadd1 access: read-only reset value: 0x00000000 ? frmadd-u current value of frame address for the upper panel in dual scan mode. current value of frame address for the complete frame in single scan. note: this register is read-only and contains the current val ue of the last dma transaction in the bus for the panel/frame. 39.11.6 dma frame address register 2 name: dmafrmadd2 access: read-only reset value: 0x00000000 ? frmadd-l current value of frame address for the lower panel in single scan mode only. note: this register is read-only and contains the current va lue of the last dma transaction in the bus for the panel. 31 30 29 28 27 26 25 24 frmadd-u 23 22 21 20 19 18 17 16 frmadd-u 15 14 13 12 11 10 9 8 frmadd-u 76543210 frmadd-u 31 30 29 28 27 26 25 24 frmadd-l 23 22 21 20 19 18 17 16 frmadd-l 15 14 13 12 11 10 9 8 frmadd-l 76543210 frmadd-l
682 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.7 dma frame c onfiguration register name: dmafrmcfg access: read/write reset value: 0x00000000 ? frmsize: frame size in single scan mode, this is the frame size in words. in dual sc an mode, this is the size of each panel. if a dual scan config- uration is selected in lcdco n2 register or bit dma2den in register dm acon is set, the bit dmaupdt in that same register must be written after writing any new value to this field in order to make the dma controller use this new value. ? brstln: burst length in words program with the desired burst length - 1 31 30 29 28 27 26 25 24 ?brstln 23 22 21 20 19 18 17 16 ?frmsize 15 14 13 12 11 10 9 8 frmsize 76543210 frmsize
683 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.8 dma control register name: dmacon access: read/write reset value: 0x00000000 ? dmaen: dma enable 0: dma is disabled. 1: dma is enabled. ? dmarst: dma reset (write-only) 0: no effect. 1: reset dma module. dma module should be reset only when disabled and in idle state. ? dmabusy: dma busy 0: dma module is idle. 1: dma module is busy (doing a transaction on the ahb bus). ? dmaupdt: dma configuration update 0: no effect 1: update dma configuration . used for simultaneous updating of dma parameters in dual scan mode or when using 2d addressing. the values written in the registers dmabaddr1, dmabaddr2 and dma2dcfg, and in the field frmsize of register dmafrmcfg, are accepted by the dma controller and are applied at the next frame. this bit is used only if a dual scan configuration is selected (bit scanmod of lcdcon2 register) or 2d addressing is enabled (bit dma2den in this register). otherwise, the lcd controller accepts immediately the values written in the registers referred to above. ? dma2den: dma 2d addressing enable 0: 2d addressing is disabled (values in register dma2dcfg are ?don?t care?). 1: 2d addressing is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? dma2den dmaupdt dmabusy dmarst dmaen
684 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.9 lcd dma 2d addressing register name: dma2dcfg access: read/write reset value: 0x00000000 ? addrinc: dma 2d addressing address increment when 2-d dma addressing is enabled (bit dma2den is set in register dmacon), th is field specifies the number of bytes that the dma controller must jump between screen lines. itb must be programmed as: [({address of first 32-bit word in a screen line} - {address of last 32-bit word in previous line})]. in other words, it is equal to 4*[number of 32-bit words occu- pied by each line in the complete frame buffer minus the number of 32-bit words occupied by each displayed line]. bit dmaupdt in register dmacon must be writ ten after writing any new value to this field in order to make the dma control- ler use this new value. ? pixeloff: dam2d addressing pixel offset when 2d dma addressing is enabled (bit dma2den is set in register dmacon), this field specifies the offset of the first pixel in each line within th e memory word that contains this pixel. the offset is specified in number of bits in the range 0-31 , so for example a value of 4 indicates that the first pixel in th e screen starts at bit 4 of the 32-bit word pointed by register dmabaddr1. bits 0 to 3 of that word are not used. this example is valid for little end ian memory organization. when using big endian memory organization, this offset is considered from bit 31 dow nwards, or equivalently, a given value of this field always selects the pixel in the same relative position within the word, independently of the memory ordering con- figuration. bit dmaupdt in register dmacon must be written a fter writing any new value to this field in order to make the dma controller use this new value. 31 30 29 28 27 26 25 24 ??? pixeloff 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 addrinc 76543210 addrinc
685 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.10 lcd control register 1 name: lcdcon1 access: read/write, except linecnt: read-only reset value: 0x00002000 ? bypass: bypass lcddotck divider 0: the divider is not bypassed. lcddotck frequency defined by the clkval field. 1: the lcddotck divider is bypassed. lcddotck frequency is equal to the lcdc clock frequency. ? clkval: clock divider 9-bit divider for pixel clock (lcddotck) frequency. ? linecnt: line counter (read-only) current value of 11-bit line counter. down count from lineval to 0. 31 30 29 28 27 26 25 24 linecnt 23 22 21 20 19 18 17 16 linecnt clkval 15 14 13 12 11 10 9 8 clkval ???? 76543210 ???????bypass pixel_clock system_clock clkval ( 1 ) + 2 ? =
686 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.11 lcd control register 2 name: lcdcon2 access: read/write reset value: 0x0000000 ? distype: display type ? scanmod: scan mode 0: single scan 1: dual scan ? ifwidth: interface width (stn) 31 30 29 28 27 26 25 24 memor ?????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 clkmod ? ? invdval invclk invline invframe invvd 76543210 pixelsize ifwidth scanmod distype distype 0 0 stn monochrome 0 1 stn color 10tft 11reserved ifwidth 0 0 4-bit (only valid in single scan stn mono or color) 0 1 8-bit (only valid in stn mono or color) 1 0 16-bit (only valid in dual scan stn mono or color) 11reserved
687 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? pixelsize: bits per pixel ? invvd: lcdd polarity 0: normal 1: inverted ? invframe: lcdvsync polarity 0: normal (active high) 1: inverted (active low) ? invline: lcdhsync polarity 0: normal (active high) 1: inverted (active low) ? invclk: lcddotck polarity 0: normal (lcdd fetched at lcddotck falling edge) 1: inverted (lcdd fetched at lcddotck rising edge) ? invdval: lcdden polarity 0: normal (active high) 1: inverted (active low) ? clkmod: lcddotck mode 0: lcddotck only active during active display period 1: lcddotck always active ? memor: memory ordering format 00: big endian 10: little endian 11: wince format pixelsize 0 0 0 1 bit per pixel 0 0 1 2 bits per pixel 0 1 0 4 bits per pixel 0 1 1 8 bits per pixel 1 0 0 16 bits per pixel 1 0 1 24 bits per pixel, packed (only valid in tft mode) 1 1 0 24 bits per pixel, unpacked (only valid in tft mode) 1 1 1 reserved
688 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.12 lcd timing configuration register 1 name: lcdtim1 access: read/write reset value: 0x0000000 ? vfp: vertical front porch in tft mode, these bits equal the number of idle lines at the end of the frame. in stn mode, these bits should be set to 0. ?vbp: vertical back porch in tft mode, these bits equal the number of idle lines at the beginning of the frame. in stn mode, these bits should be set to 0. ? vpw: vertical synchronization pulse width in tft mode, these bits equal the vertical synchronization pulse width, given in number of lines. lcdvsync width is equal to (vpw+1) lines. in stn mode, these bits should be set to 0. ? vhdly: vertical to horizontal delay in tft mode, this is the delay between lcdvsync rising or fallin g edge and lcdhsync ri sing edge. delay is (vhdly+1) lcddotck cycles. in stn mode, these bits should be set to 0. 31 30 29 28 27 26 25 24 ???? vhdly 23 22 21 20 19 18 17 16 ?? vpw 15 14 13 12 11 10 9 8 vbp 76543210 vfp
689 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.13 lcd timing configuration register 2 name: lcdtim2 access: read/write reset value: 0x0000000 ? hbp: horizontal back porch number of idle lcddotck cycles at the beginning of the line. idle period is (hbp+1) lcddotck cycles. ? hpw: horizontal synch ronization pulse width width of the lcdhsync pulse, given in lcddotck cycles. width is (hpw+1) lcddotck cycles. ? hfp: horizontal front porch number of idle lcddotck cycles at the end of the line. idle period is (hfp+1) lcddotck cycles. 31 30 29 28 27 26 25 24 hfp 23 22 21 20 19 18 17 16 hfp ????? 15 14 13 12 11 10 9 8 ?? hpw 76543210 hbp
690 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.14 lcd frame configuration register name: lcdfrmcfg access: read/write reset value: 0x0000000 ? lineval: vertical size of lcd module in single scan mode: vertical size of lcd module, in pixels, minus 1 in dual scan mode: vertical display size of each lcd panel, in pixels, minus 1 ? linesize: horizontal size of lcd module, in pixels, minus 1 31 30 29 28 27 26 25 24 linesize 23 22 21 20 19 18 17 16 linesize ????? 15 14 13 12 11 10 9 8 ????? lineval 76543210 lineval
691 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.15 lcd fifo register name: lcdfifo access: read/write reset value: 0x0000000 ? fifoth: fifo threshold must be programmed with: fifoth (in words) = 512 - (2 x dma_burst_length + 3) where: ? 512 is the effective size of the fifo in words. it is the total fifo memory size in single scan mode and half that size in dual scan mode. ? dma_burst_length is the burst length of the transfers made by the dma in words. refer to ?brstln: burst length in words? on page 682 . 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 fifoth 76543210 fifoth
692 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.16 dithering pattern dp1_2 register name: dp1_2 access: read/write reset value: 0xa5 ? dp1_2: pattern value for ? duty cycle 39.11.17 dithering pattern dp4_7 register name: dp4_7 access: read/write reset value: 0x5af0fa5 ? dp4_7: pattern value for 4/7 duty cycle 39.11.18 dithering pattern dp3_5 register name: dp3_5 access: read/write reset value: 0xa5a5f ? dp3_5: pattern value for 3/5 duty cycle 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 dp1_2 31 30 29 28 27 26 25 24 ???? dp4_7 23 22 21 20 19 18 17 16 dp4_7 15 14 13 12 11 10 9 8 dp4_7 76543210 dp4_7 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???? dp3_5 15 14 13 12 11 10 9 8 dp3_5 76543210 dp3_5
693 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.19 dithering pattern dp2_3 register name: dp2_3: dithering pattern dp2_3 register access: read/write reset value: 0xa5f ? dp2_3: pattern value for 2/3 duty cycle 39.11.20 dithering pattern dp5_7 register name: dp5_7: access: read/write reset value: 0xfaf5fa5 ? dp5_7: pattern value for 5/7 duty cycle 39.11.21 dithering pattern dp3_4 register name: dp3_4 access: read/write reset value: 0xfaf5 ? dp3_4: pattern value for 3/4 duty cycle 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???? dp2_3 76543210 dp2_3 31 30 29 28 27 26 25 24 ???? dp5_7 23 22 21 20 19 18 17 16 dp5_7 15 14 13 12 11 10 9 8 dp5_7 76543210 dp5_7 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 dp3_4 76543210 dp3_4
694 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.22 dithering pattern dp4_5 register name: dp4_5 access: read/write reset value: 0xfaf5f ? dp4_5: pattern value for 4/5 duty cycle 39.11.23 dithering pattern dp6_7 register name: dp6_7 access: read/write reset value: 0xf5ffaff ? dp6_7: pattern value for 6/7 duty cycle 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???? dp4_5 15 14 13 12 11 10 9 8 dp4_5 76543210 dp4_5 31 30 29 28 27 26 25 24 ???? dp6_7 23 22 21 20 19 18 17 16 dp6_7 15 14 13 12 11 10 9 8 dp6_7 76543210 dp6_7
695 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.24 power control register name: pwrcon access: read/write reset value: 0x0000000e ? lcd_pwr: lcd module power control 0 = lcd_pwr signal is low, other lcd_* signals are low. 0->1 = lcd_* signals activated, lcd_pwr is set high with the delay of guard_time frame periods. 1 = lcd_pwr signal is high, ot her lcd_* signals are active. 1->0 = lcd_pwr signal is low, other lcd_* signals are active, but are set low after guard_time frame periods. ? guard_time delay in frame periods between applying control signals to the lcd module and setting lcd_pwr high, and between set- ting lcd_pwr low and removing control signals from lcd module ? lcd_busy read-only field. if 1, it indicates that the lcd is busy (act ive and displaying data, in power on sequence or in power off sequence). 31 30 29 28 27 26 25 24 lcd_busy??????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 guard_time lcd_pwr
696 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.25 contrast control register name: contrast_ctr access: read/write reset value: 0x00000000 ?ps this 2-bit value selects the configuration of a counter prescaler. the meaning of each combination is as follows: ?pol this bit defines the polarity of the output. if 1, the ou tput pulses are high level (the out put will be high whenever the value in the counter is less than the value in the compare register constrast_val). if 0, the output pulses are low level. ?ena when 1, this bit enables the operation of the pwm generator. when 0, the pwm counter is stopped. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????enapol ps ps 0 0 the counter advances at a rate of fcounter = flcdc_clock. 0 1 the counter advances at a rate of fcounter = flcdc_clock/2. 1 0 the counter advances at a rate of fcounter = flcdc_clock/4. 1 1 the counter advances at a rate of fcounter = flcdc_clock/8.
697 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.26 contrast value register name: constrast_val access: read/write reset value: 0x00000000 ?cval pwm compare value. used to adjust the analog value obtained after an external filter to control the contrast of the display. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 cval
698 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.27 lcd interrupt enable register name: lcd_ier access: write-only reset value: 0x0 ? lnie: line interrupt enable 0: no effect 1: enable each line interrupt ? lstlnie: last line interrupt enable 0: no effect 1: enable last line interrupt ? eofie: dma end of frame interrupt enable 0: no effect 1: enable end of frame interrupt ? uflwie: fifo underflow interrupt enable 0: no effect 1: enable fifo u nderflow interrupt ? owrie: fifo overwrite interrupt enable 0: no effect 1: enable fifo overwrite interrupt ? merie: dma memory error interrupt enable 0: no effect 1: enable dma memory error interrupt 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? merie owrie uflwie - eofie lstlnie lnie
699 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.28 lcd interrupt disable register name: lcd_idr access: write-only reset value: 0x0 ? lnid: line interrupt disable 0: no effect 1: disable each line interrupt ? lstlnid: last line interrupt disable 0: no effect 1: disable last line interrupt ? eofid: dma end of frame interrupt disable 0: no effect 1: disable end of frame interrupt ? uflwid: fifo underflow interrupt disable 0: no effect 1: disable fifo un derflow interrupt ? owrid: fifo overwrite interrupt disable 0: no effect 1: disable fifo overwrite interrupt ? merid: dma memory error interrupt disable 0: no effect 1: disable dma memo ry error interrupt 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? merid owrid uflwid ? eofid lstlnid lnid
700 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.29 lcd interrupt mask register name: lcd_imr access: read-only reset value: 0x0 ? lnim: line interrupt mask 0: line interrupt disabled 1: line interrupt enabled ? lstlnim: last line interrupt mask 0: last line interrupt disabled 1: last line interrupt enabled ? eofim: dma end of frame interrupt mask 0: end of frame interrupt disabled 1: end of frame interrupt enabled ? uflwim: fifo underflow interrupt mask 0: fifo underflow interrupt disabled 1: fifo underflow interrupt enabled ? owrim: fifo overwrite interrupt mask 0: fifo overwrite interrupt disabled 1: fifo overwrite interrupt enabled ? merim: dma memory error interrupt mask 0: dma memory error interrupt disabled 1: dma memory error interrupt enabled 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?merimowrimuflwim? eofim lstlnim lnim
701 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.30 lcd interrupt status register name: lcd_isr access: read-only reset value: 0x0 ? lnis: line interrupt status 0: line interrupt not active 1: line interrupt active ? lstlnis: last line interrupt status 0: last line interrupt not active 1: last line interrupt active ? eofis: dma end of frame interrupt status 0: end of frame interrupt not active 1: end of frame interrupt active ? uflwis: fifo underflow interrupt status 0: fifo underflow interrupt not active 1: fifo underflow interrupt active ? owris: fifo overwrite interrupt status 0: fifo overwrite interrupt not active 1: fifo overwrite interrupt active ? meris: dma memory error interrupt status 0: dma memory error interrupt not active 1: dma memory error interrupt active 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? meris owris uflwis ? eofis lstlnis lnis
702 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.31 lcd interrupt clear register name: lcd_icr access: write-only reset value: 0x0 ? lnic: line interrupt clear 0: no effect 1: clear each line interrupt ? lstlnic: last line interrupt clear 0: no effect 1: clear last line interrupt ? eofic: dma end of frame interrupt clear 0: no effect 1: clear end of frame interrupt ? uflwic: fifo underflow interrupt clear 0: no effect 1: clear fifo underflow interrupt ? owric: fifo overwrite interrupt clear 0: no effect 1: clear fifo overwrite interrupt ? meric: dma memory error interrupt clear 0: no effect 1: clear dma memory error interrupt 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? meric owric uflwic ? eofic lstlnic lnic
703 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.32 lcd interrupt test register name: lcd_itr access: write-only reset value: 0x0 ? lnit: line interrupt test 0: no effect 1: set each line interrupt ? lstlnit: last line interrupt test 0: no effect 1: set last line interrupt ? eofit: dma end of frame interrupt test 0: no effect 1: set end of frame interrupt ? uflwit: fifo underflow interrupt test 0: no effect 1: set fifo underflow interrupt ? owrit: fifo overwrite interrupt test 0: no effect 1: set fifo overwrite interrupt ? merit: dma memory error interrupt test 0: no effect 1: set dma memory error interrupt 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? merit owrit uflwit ? eofit lstlnit lnit
704 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.11.33 lcd interrupt raw status register name: lcd_irr access: write-only reset value: 0x0 ? lnir: line interrupt raw status 0: no effect 1: line interrupt condition present ? lstlnir: last line interrupt raw status 0: no effect 1: last line interrupt condition present ? eofir: dma end of frame interrupt raw status 0: no effect 1: end of frame interrupt condition present ? uflwir: fifo underflow interrupt raw status 0: no effect 1: fifo underflow interrupt condition present ? owrir: fifo overwrite interrupt raw status 0: no effect 1: fifo overwrite interrupt condition present ? merir: dma memory error interrupt raw status 0: no effect 1: dma memory error interrupt condition present 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? merir owrir uflwir ? eofir lstlnir lnir
705 6289d?atarm?3-oct-11 at91sam9r64/rl64 40. ac?97 controller (ac?97c) 40.1 description the ac?97 controller is the hardware implement ation of the ac?97 digital controller (dc?97) compliant with ac?97 comp onent specification 2.2. the ac?97 controller communicates with an audio codec (ac?97) or a modem codec (mc?97) via t he ac-link digital serial interface. all digital audio, modem and handset data streams, as well as control (command/status) informations are transferred in accordance to the ac-link protocol. the ac?97 controller features a peripheral dma controller (pdc) for audio streaming transfers. it also supports variable sampling rate and four pulse code modulation (pcm) sample resolu- tions of 10, 16, 18 and 20 bits.
706 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.2 block diagram figure 40-1. functional block diagram ac97 channel a ac97c_cathr ac97c_carhr slot #3...12 ac97 codec channel ac97c_cothr ac97c_corhr slot #2 slot #1,2 ac97 channel b ac97c_cbthr ac97c_cbrhr slot #3...12 ac97 tag controller transmit shift register receive shift register receive shift register receive shift register receive shift register transmit shift register transmit shift register transmit shift register slot #0 slot #0,1 ac97 slot controller slot number 16/20 bits slot number sdata_in bitclk sdata_out sync user interface mck clock domain bit clock domain ac97c interrupt mck apb interface m u x d e m u x
707 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.3 pin name list the ac?97 reset signal provided to the primary codec can be generated by a pio. 40.4 application block diagram figure 40-2. application block diagram table 40-1. i/o lines description pin name pin description type ac97ck 12.288-mhz bit-rate clock input ac97rx receiver data (referred as sdata_in in ac-link spec) input ac97fs 48-khz frame indicator and synchronizer output ac97tx transmitter data (referred as sdata_out in ac-link spec) output ac 97 controller ac97tx ac97rx piox ac'97 primary codec ac97fs ac97ck ac97_reset ac97_sync ac97_sdata_out ac97_bitclk ac-link ac97_sdata_in
708 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.5 product dependencies 40.5.1 i/o lines the pins used for interfacing the compliant external devices may be multiplexed with pio lines. before using the ac?97 controller receiver, the pio controller must be configured in order for the ac97c receiver i/o lines to be in ac?97 controller peripheral mode. before using the ac?97 controller transmitter, the pio controller must be configured in order for the ac97c transmitter i/o lines to be in ac?97 controlle r peripheral mode. 40.5.2 power management the ac?97 controller is not continuously clocked. its interface may be clocked through the power management controller (pmc), therefore the programmer must first configure the pmc to enable the ac?97 controller clock. the ac?97 controller has two clock domains. the first one is supplied by pmc and is equal to mck. the second one is ac97ck which is sent by the ac97 codec (bit clock). signals that cross the two clock domains are re-synchronized. mck clock frequency must be higher than the ac97ck (bit clock) clock frequency. 40.5.3 interrupt the ac?97 controller interface has an interrupt line connected to the advanced interrupt con- troller (aic). handling interrupts requires programming the aic before configuring the ac97c. all ac?97 controller interrupts can be enabled/dis abled by writing to the ac?97 controller inter- rupt enable/disable register s. each pending and unmasked ac?97 controlle r interrupt will assert the interrupt line. the ac?97 controller interrupt service routine can get the interrupt source in two steps: ? reading and anding ac?97 controller interrupt mask register (ac97c_imr) and ac?97 controller status register (ac97c_sr). ? reading ac?97 controller channel x status register (ac97c_cxsr).
709 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.6 functional description 40.6.1 protocol overview ac-link protocol is a bidirectional, fixed clock rate, serial digital stream. ac-link handles multiple input and output pulse code modulation pcm audio streams, as well as control register accesses employing a time division multiplexed (tdm) scheme that divides each audio frame in 12 outgoing and 12 incoming 20-bit wide data slots. figure 40-3. bidirectional ac-link fr ame with slot assignment slot # ac97fs tag cmd addr cmd data 0 ac97tx (controller output) ac97rx (codec output) pcm l front pcm r front line 1 dac pcm center pcm r surr pcm lfe line 2 dac hset dac io ctrl tag status addr status data pcm left line 1 dac pcm mic rsved rsved rsved line 2 adc hset adc io status 12 3 4 56 7 8 9 1011 12 pcm l surr pcm right table 40-2. ac-link output slots transmitted from the ac?97c controller slot # pin description 0tag 1 command address port 2 command data port 3,4 pcm playback left/right channel 5 modem line 1 output channel 6, 7, 8 pcm center/left surround/right surround 9pcm lfe dac 10 modem line 2 output channel 11 modem handset output channel 12 modem gpio control channel table 40-3. ac-link input slots transmitte d from the ac?97c controller slot # pin description 0tag 1 status address port 2 status data port 3,4 pcm playback left/right channel 5 modem line 1 adc 6 dedicated microphone adc 7, 8, 9 vendor reserved
710 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.6.1.1 slot description tag slot the tag slot, or slot 0, is a 16-bit wide slot that always goes at the beginning of an outgoing or incoming frame. within tag slot, the first bit is a global bit that flags the entire frame validity. the next 12 bit positions sampled by the ac?97 controller indicate which of the corresponding 12 time slots contain valid data. the slot?s last two bits (combined) called codec id, are used to dis- tinguish primary and secondary codec. the 16-bit wide tag slot of the output frame is automatically generated by the ac?97 controller according to the transmit request of each channel and to the slotreq from the previous input frame, sent by the ac?97 codec, in variable sample rate mode. codec slot 1 the command/status slot is a 20-bit wide slot used to control features, and monitors status for ac?97 codec functions. the control interface architecture supports up to sixty-four 16-bit wide read/write registers. only the even registers are currently defined and addressed. slot 1?s bitmap is the following: ? bit 19 is for read/write command, 1= read, 0 = write. ? bits [18:12] are for control register index. ? bits [11:0] are reserved. codec slot 2 slot 2 is a 20-bit wide slot used to carry 16-bit wide ac97 codec control register data. if the cur- rent command port operation is a read, the entire slot time is stuffed with zeros. its bitmap is the following: ? bits [19:4] are the control register data ? bits [3:0] are reserved and stuffed with zeros. data slots [3:12] slots [3:12] are 20-bit wide data slots, they usually carry audio pcm or/and modem i/o data. 10 modem line 2 adc 11 modem handset input adc 12 modem io status table 40-3. ac-link input slots transmitte d from the ac?97c controller slot # pin description
711 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.6.2 ac?97 controller channel organization the ac?97 controller features a codec channel and 2 logical channels; channel a and channel b. the codec channel controls ac?97 codec registers, it enables write and read configuration val- ues in order to bring the ac97 codec to an operating state. the codec channel always runs slot 1 and slot 2 exclusively, in both input and output directions. channel a and channel b transfer data to/from ac97 codec. all audio samples and modem data must transit by these two channels. howe ver, channel a is conn ected to pdc channels thus making it suitable for audio streaming applications. each slot of the input or the output frame that belongs to this range [3 to 12] can be operated by either channel a or channel b. the slot to cha nnel assignment is configured by two registers: ? ac?97 controller input channel assignment register (ac97c_ica) ? ac?97 controller output channel as signment register (ac97c_oca) the ac?97 controller input channel assignment register (ac97c_ica) configures the input slot to channel assignment. the ac?97 controller output channel assignment register (ac97c_oca) configures the output slot to channel assignment. a slot can be left unassigned to a channel by the ac?97 controller. slots 0, 1,and 2 cannot be assigned to channel a or to channel b through the ac97c_oca and ac97c_ica registers. the width of sample data, that transit via channel a and channel b varies and can take one of these values; 10, 16, 18 or 20 bits. figure 40-4. logical channel assignment slot # ac97fs tag cmd data 0 ac97tx (controller output) ac97rx (codec output) pcm l front pcm r front line 1 dac pcm center pcm l surr pcm r surr pcm lfe line 2 dac hset dac io ctrl tag status addr status data pcm left pcm right line 1 dac pcm mic rsved rsved rsved line 2 adc hset adc io status 12 3 4 56 7 8 91011 12 codec channel channel a codec channel channel a ac97c_oca = 0x0000_0209 ac97c_ica = 0x0000_0009 cmd addr
712 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.6.2.1 ac97 controller setup the following operations must be performed in order to bring the ac?97 controller into an oper- ating state: 1. enable the ac97 controller clock in the pmc controller. 2. turn on ac97 function by enabling the ena bit in ac97 controller mode register (ac97c_mr). 3. configure the input channe l assignment by controlling the ac?97 controller input assignment register (ac97c_ica). 4. configure the output channel assignment by controlling the a c?97 controller input assignment register (ac97c_oca). 5. configure sample width for channel a and ch annel b by writing the size bit field in ac97c channel a mode register (ac97c _camr) and ac97c channel b mode reg- ister (ac97c_cbmr). the application can write 10, 16, 18,or 20-bit wide pcm samples through the ac?97 in terface and they will be transfe rred into 20-bit wide slots. 6. configure data endianness for channel a and channel b by writing cem bit field in ac97c_camr and ac97c_cbmr registers. data on the ac-link are shifted msb first. the application can write little- or big-endian data to the ac?97 controller interface. 7. configure the pio controller to drive the reset signal of the external codec. the reset signal must fulfill external ac97 codec timing requirements. 8. enable channel a and/or channel b by writing cen bit field in ac97c_camr and ac97c_cbmr registers. 40.6.2.2 transmit operation the application must perform the following steps in order to send data via a channel to the ac97 codec: ? check if previous data has been sent by polling txrdy flag in the ac97c channel x status register (ac97_cxsr). x being one of the 2 channels. ? write data to the ac?97 controller channel x transmit holding register (ac97c_cxthr). once data has been transferred to the channel x shift register, the txrdy flag is automatically set by the ac?97 controller which allows the app lication to start a new write action. the applica- tion can also wait for an interrupt notice associated with txrdy in order to send data. the interrupt remains active until txrdy flag is cleared..
713 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 40-5. audio transfer (pcm l front, pcm r front) on channel x the txempty flag in the ac?97 controller channel x status register (ac97c_cxsr) is set when all requested transmissions for a channel have been shifted on the ac-link. the applica- tion can either poll txempty flag in ac97c_cxs r or wait for an interrupt notice associated with the same flag. in most cases, the ac?97 controller is embedded in chips that target audio player devices. in such cases, the ac?97 controller is exposed to heavy audio transfers. using the polling tech- nique increases processor overhead and may fail to keep the required pace under an operating system. in order to avoid thes e polling drawbacks, the applicati on can perform audio streams by using pdc connected to channel a, which r educes processor overhead and increases perfor- mance especially under an operating system. the pdc transmit counter values must be equal to the number of pcm samples to be transmit- ted, each sample goes in one slot. 40.6.2.3 ac?97 output frame the ac?97 controller outputs a thirteen-slot frame on the ac-link. the first slot (tag slot or slot 0) flags the validity of the entire frame and the validity of each slot; whether a slot carries valid data or not. slots 1 and 2 are used if the application performs control and status monitoring actions on ac97 codec control/status registers. slots [3:12] are used according to the content of the ac?97 controller output channel assignment register (ac97c_oca). if the application per- forms many transmit requests on a channel, some of the slots associated to this channel or all of them will carry valid data. 40.6.2.4 receive operation the ac?97 controller can also receive data from ac?97 codec. data is received in the channel?s shift register and then transferred to the ac?97 controller channel x read holding register. to read the newly received data, the application must perform the following steps: ? poll rxrdy flag in ac?97 controller channel x status register (ac97c_cxsr). x being one of the 2 channels. ? read data from ac?97 controller channel x read holding register. slot # ac97fs tag cmd addr cmd data 0 ac97tx (controller output) pcm l front pcm r front line 1 dac pcm center pcm l surr pcm r surr pcm lfe line 2 dac hset dac io ctrl 12 3 4 56 7 8 9 1011 12 txrdycx (ac97c_sr) write access to ac97c_thrx pcm l front transfered to the shift register pcm r front transfered to the shift register txempty (ac97c_sr)
714 6289d?atarm?3-oct-11 at91sam9r64/rl64 the application can also wait for an interrupt notice in order to read data from ac97c_cxrhr. the interrupt remains active until rx rdy is cleared by reading ac97c_cxsr. the rxrdy flag in ac97c_cxsr is set automatic ally when data is received in the channel x shift register. data is th en shifted to ac97c_cxrhr. figure 40-6. audio transfer (pcm l front, pcm r front) on channel x if the previously received data has not been read by the application, the new data overwrites the data already waiting in ac97c _cxrhr, therefore the ovrun flag in ac97c_cxsr is raised. the application can either poll the ovrun flag in ac97c_cxsr or wait for an interrupt notice. the interrupt remains acti ve until the ovrun flag in ac97c_cxsr is set. the ac?97 controller can also be used in sound recording devices in association with an ac97 codec. the ac?97 controller may also be exposed to heavy pcm transfers. the application can use the pdc connected to channel a in order to reduce processor overhead and increase per- formance especially under an operating system. the pdc receive counter values must be equal to the number of pcm samples to be received, each sample goes in one slot. 40.6.2.5 ac?97 input frame the ac?97 controller receives a thirteen slot frame on the ac-link sent by the ac97 codec. the first slot (tag slot or slot 0) fl ags the validity of the entire frame and the validity of each slot; whether a slot carries valid data or not. slots 1 and 2 are used if the application requires status informations from ac97 codec. slots [3:12] are used according to ac?97 controller output channel assignment register (ac97c_ica) conten t. the ac?97 controller will not receive any data from any slot if ac97c_ica is not assigned to a channel in input. 40.6.2.6 configuring and using interrupts instead of polling flags in ac?97 controller global status register (ac97c_sr) and in ac?97 controller channel x status register (ac97c_c xsr), the application can wait for an interrupt notice. the following steps show how to configure and use interrupts correctly: ? set the interruptible flag in ac?97 controller channel x mode register (ac97c_cxmr). ? set the interruptible event and channel event in ac?97 controller inte rrupt enable register (ac97c_ier). the interrupt handler must read both ac?97 controller global status register (ac97c_sr) and ac?97 controller interrupt mask register (ac 97c_imr) and and them to get the real interrupt source. furthermore, to get which event was activated, the interrupt handler has to read ac?97 controller channel x status register (ac97c_cxsr), x being the channel whose event triggers the interrupt. slot # ac97fs 0123 4 56 7 8 9 1011 12 rxrdycx (ac97c_sr) read access to ac97c_rhrx ac97rx (codec output) tag status addr status data pcm left pcm right line 1 dac pcm mic rsved rsved rsved line 2 adc hset adc io status
715 6289d?atarm?3-oct-11 at91sam9r64/rl64 the application can disable event interrupts by writing in ac?97 controlle r interrupt disable reg- ister (ac97c_idr). the ac?97 controller inte rrupt mask register (ac97c_imr) shows which event can trigger an interrupt and which one cannot. 40.6.2.7 endianness endianness can be managed automatically for each channel, except for the codec channel, by writing to channel endianness mode (cem) in ac97c_cxmr. this enables transferring data on ac-link in big endian format without any additional operation. to transmit a word stored in big endian format on ac-link word to be written in ac?97 controller channel x transmit holding register (ac97c_cxthr) (as it is stored in memory or microprocessor register). word stored in channel x transmit holding register (ac97c_cxthr) (data to transmit) . data transmitted on appropriate slot: data[19:0] = {byte2[3:0], byte1[7:0], byte0[7:0]}. to transmit a halfword stored in big indian format on ac-link halfword to be written in ac?97 controller channel x transmit holding register (ac97c_cxthr). halfword stored in ac?97 controller channel x transmit holding register (ac97c_cxthr) (data to transmit). data emitted on related slot: data[19:0] = {0x0, byte1[7:0], byte0[7:0]}. to transmit a10-bit sample stored in big endian format on ac-link halfword to be written in ac?97 controller channel x transmit holding register (ac97c_cxthr). halfword stored in ac?97 controller channel x transmit holding register (ac97c_cxthr) (data to transmit). data emitted on related slot: data[19:0] = {0x000, byte1[1:0], byte0[7:0]}. 31 24 23 16 15 8 7 0 byte0[7:0] byte1[7:0] byte2[7:0] byte3[7:0] 31 24 23 20 19 16 15 8 7 0 ? ? byte2[3:0] byt e1[7:0] byte0[7:0] 31 24 23 16 15 8 7 0 ? ? byte0[7:0] byte1[7:0] 31 24 23 16 15 8 7 0 ? ? byte1[7:0] byte0[7:0] 31 24 23 16 15 8 7 0 ? ? byte0[7:0] {0x00, byte1[1:0]} 31 24 23 16 15 10 9 8 7 0 ??? byte1 [1:0] byte0[7:0]
716 6289d?atarm?3-oct-11 at91sam9r64/rl64 to receive word transfers data received on appropriate slot: data[19:0] = {byte2[3:0], byte1[7:0], byte0[7:0]}. word stored in ac?97 controller channel x receive holding register (ac97c_cxrhr) (received data) . data is read from ac?97 controller channel x receive holding register (ac97c_cxrhr) when channel x data size is greater than 16 bits and when big-endian mode is enabled (data written to memory). to receive halfword transfers data received on appropriate slot: data[19:0] = {0x0, byte1[7:0], byte0[7:0]}. halfword stored in ac?97 co ntroller channel x receive ho lding register (ac97c_cxrhr) (received data). data is read from ac?97 controller channel x receive holding register (ac97c_cxrhr) when data size is equal to 16 bits and when big-endian mode is enabled. to receive 10-bit samples data received on appropriate slot: data[19:0] = {0x000, byte1[1:0], byte0[7:0]}.halfword stored in ac?97 controller channel x receive holdin g register (ac97c_cxrhr) (received data) data read from ac?97 controller channel x re ceive holding register (ac97c_cxrhr) when data size is equal to 10 bits and when big-endian mode is enabled. 40.6.3 variable sample rate the problem of variable sample rate can be summarized by a simple example. when passing a 44.1 khz stream across the ac-link, for every 480 audio output frames that are sent across, 441 of them must contain valid sample data. the new ac?97 standard approach calls for the addition of ?on-demand? slot request flags. the ac?97 c odec examines its sample rate control register, the state of its fifos, and the incoming sdata_out tag bits (slot 0) of each output frame and then determines which slotreq bits to set acti ve (low). these bits are passed from the ac97 31 24 23 20 19 16 15 8 7 0 ? ? byte2[3:0] byt e1[7:0] byte0[7:0] 31 24 23 16 15 8 7 0 byte0[7:0] byte1[7:0] {0x0, byte2[3:0]} 0x00 31 24 23 16 15 8 7 0 ? ? byte1[7:0] byte0[7:0] 31 24 23 16 15 8 7 0 ? ? byte0[7:0] byte1[7:0] 31 24 23 16 15 10 9 8 7 0 ??? byte1 [1:0] byte0[7:0] 31 24 23 16 15 8 7 3 1 0 ? ? byte0[7:0] 0x00 byte1 [1:0]
717 6289d?atarm?3-oct-11 at91sam9r64/rl64 codec to the ac?97 controller in slot 1/slotreq in every audio input frame. each time the ac?97 controller sees one or more of the newly defined slot request flags set active (low) in a given audio input frame, it must pass along the next pcm sample for the corresponding slot(s) in the ac-link output frame that immediately follows. the variable sample rate mode is enabled by performing the following steps: ? setting the vra bit in the ac?97 controller mode register (ac97c_mr). ? enable variable rate mode in the ac?97 codec by performing a transfer on the codec channel. slot 1 of the input frame is automatically interpreted as slotreq signaling bits. the ac?97 controller will automatically fill the active sl ots according to both slotreq and ac97c_oca register in the next transmitted frame. 40.6.4 power management 40.6.4.1 powering down the ac-link the ac97 codecs can be placed in low power mo de. the application can bring ac97 codec to a power down state by performing sequential writes to ac97 codec powerdown register. both the bit clock (clock delivered by ac97 codec, ac97ck) and the input line (ac97rx) are held at a logic low voltage level. this puts ac97 codec in power down state while all its registers are still holding current values. without the bit cloc k, the ac-link is completely in a power down state. the ac?97 controller should not attempt to play or capture audio data until it has awakened ac97 codec. to set the ac?97 codec in low power mode, the pr4 bit in the ac?97 codec powerdown register (codec address 0x26) must be set to 1. t hen the primary codec drives both ac97ck and ac97rx to a low logic voltage level. the following operations must be done to put ac97 codec in low power mode: ? disable channel a clearing cen in the ac97c_camr register. ? disable channel b clearing cen field in the ac97c_cbmr register. ? write 0x2680 value in the ac97c_cothr register. ? poll the txempty flag in ac97c_cxsr registers for the 2 channels. at this point ac97 code c is in low power mode. 40.6.4.2 waking up the ac-link there are two methods to bring the ac-link out of low power mode. regardless of the method, it is always the ac97 controller that performs the wake-up. wake-up triggered by the ac?97 controller the ac?97 controller can wake up the ac97 codec by issuing either a cold or a warm reset. the ac?97 controller can also wake up the ac97 codec by asserting ac97fs signal, however this action should not be performed for a minimum period of four audio frames following the frame in which the powerdown was issued. wake-up triggered by the ac97 codec
718 6289d?atarm?3-oct-11 at91sam9r64/rl64 this feature is implemented in ac97 modem codec s that need to report events such as caller- id and wake-up on ring. the ac97 codec can drive ac97rx signal from low to high level and holding it high until the controller issues either a cold or a worm reset. the ac97rx rising edge is asynchronously (regarding ac97fs) detected by the ac?97 cont roller. if wkup bit is enabled in ac97c_imr register, an interrupt is triggered that wakes up the ac?97 controller which should then immedi- ately issue a cold or a warm reset. if the processor needs to be awakened by an external event, the ac97rx signal must be exter- nally connected to the wakeup entry of the sys tem controller. figure 40-7. ac?97 power-down/up sequence 40.6.4.3 ac97 codec reset there are three ways to reset an ac97 codec. cold ac?97 reset a cold reset is generated by asserting the reset signal low for the minimum specified time (depending on the ac97 codec) and then by de-asserting reset high. ac97ck and ac97fs is reactivated and all ac97 codec registers are set to their default power-on values. transfers on ac-link can resume. the reset signal will be controlled via a pio line. th is is how an applicat ion should perform a cold reset: ? clear and set ena flag in the ac97c_mr register to reset the ac?97 controller ? clear pio line output cont rolling the ac?97 reset signal ? wait for the minimum specified time ? set pio line output contro lling the ac?97 reset signal ac97ck, the clock provided by ac97 co dec, is detected by the controller. warm ac?97 reset a warm reset reactivates the ac-link without alteri ng ac97 codec registers. a warm reset is sig- naled by driving ac97fx signal high for a minimum of 1us in the absence of ac97ck. in the absence of ac97ck, ac97fx is treated as an asynchronous (regarding ac97fx) input used to signal a warm reset to ac97 codec. this is the right way to perform a warm reset: ? set wrst in the ac97c_mr register. ac97ck ac97fs tag write to 0x26 data pr4 power down frame sleep state tag write to 0x26 data pr4 wake event warm reset new audio frame tag slot1 slot2 ac97tx ac97rx tag slot1 slot2
719 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? wait for at least 1 us ? clear wrst in the ac97c_mr register. the application can check that operations have resumed by checking sof flag in the ac97c_sr register or wait for an interrupt notice if sof is enabled in ac97c_imr.
720 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7 ac?97 controller (ac97c) user interface table 40-4. register mapping offset register register name access reset 0x0-0x4 reserved ? ? ? 0x8 mode register ac97c_mr read/write 0x0 0xc reserved ? ? ? 0x10 input channel assignment register ac97c_ica read/write 0x0 0x14 output channel assignment register ac97c_oca read/write 0x0 0x18-0x1c reserved ? ? ? 0x20 channel a receive holding register ac97c_carhr read 0x0 0x24 channel a transmit holding register ac97c_cathr write ? 0x28 channel a status register ac97c_casr read 0x0 0x2c channel a mode register ac97c_camr read/write 0x0 0x30 channel b receive holding register ac97c_cbrhr read 0x0 0x34 channel b transmit holding register ac97c_cbthr write ? 0x38 channel b status register ac97c_cbsr read 0x0 0x3c channel b mode register ac97c_cbmr read/write 0x0 0x40 codec receive holding register ac97c_corhr read 0x0 0x44 codec transmit holding register ac?97c_cothr write ? 0x48 codec status register ac?97c_cosr read 0x0 0x4c codec mode register ac?97c_comr read/write 0x0 0x50 status register ac97c_sr read 0x0 0x54 interrupt enable register ac97c_ier write ? 0x58 interrupt disable register ac97c_idr write ? 0x5c interrupt mask register ac97c_imr read 0x0 0x60-0xfb reserved ? ? ? 0x100- 0x124 reserved for peripheral data controller (pdc), registers related to channel a transfers ac97c_carpr, ac97c_carcr, ac97c_catpr, ac97c_catcr, ac97c_carnpr, ac97c_carncr, ac97c_catnpr, ac97c_catncr, ac97c_captcr, ac97c_captsr ? ?
721 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.1 ac?97 controller mode register name: ac97c_mr access type: read-write ? vra: variable rate (for data slots 3-12) 0: variable rate is inactive. (48 khz only) 1: variable rate is active. ? wrst: warm reset 0: warm reset is inactive. 1: warm reset is active. ? ena: ac?97 controller global enable 0: no effect. ac?97 function as well as access to other ac?97 controller registers are disabled. 1: activates the ac?97 function. 40.7.2 ac?97 controller input channel assignment register register name : ac97c_ica access type : read/write ? chidx: channel id for the input slot x 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????vrawrstena 31 30 29 28 27 26 25 24 ? ? chid12 chid11 23 22 21 20 19 18 17 16 chid10 chid9 chid8 15 14 13 12 11 10 9 8 chid8 chid7 chid6 chid5 76543210 chid5 chid4 chid3 chidx selected receive channel 0x0 none. no data will be received during this slot x 0x1 channel a data will be received during this slot time. 0x2 channel b data will be received during this slot time
722 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.3 ac?97 controller output channel assignment register register name : ac97c_oca access type : read/write ? chidx: channel id for the output slot x 31 30 29 28 27 26 25 24 ? ? chid12 chid11 23 22 21 20 19 18 17 16 chid10 chid9 chid8 15 14 13 12 11 10 9 8 chid8 chid7 chid6 chid5 76543210 chid5 chid4 chid3 chidx selected transmit channel 0x0 none. no data will be transmitted during this slot x 0x1 channel a data will be transferred during this slot time. 0x2 channel b data will be transferred during this slot time
723 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.4 ac?97 controller codec channel receive holding register register name : ac97c_corhr access type : read-only ?sdata: status data data sent by the codec in the third ac?97 input frame slot (slot 2). 40.7.5 ac?97 controller codec channel transmit holding register register name : ac97c_cothr access type : write-only ? read: read/write command 0: write operation to the codec r egister indexed by the caddr address. 1: read operation to the codec register indexed by the caddr address. this flag is sent during the second ac?97 frame slot ? caddr: codec control register index data sent to the codec in the second ac?97 frame slot. ? cdata: command data data sent to the codec in the third ac?97 frame slot (slot 2). 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 sdata 76543210 sdata 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 read caddr 15 14 13 12 11 10 9 8 cdata 76543210 cdata
724 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.6 ac?97 controller channel a, channel b receive holding register register name : ac97c_carhr, ac97c_cbrhr access type : read-only ? rdata: receive data received data on channel x. 40.7.7 ac?97 controller channel a, channel b transmit holding register register name : ac97c_cathr, ac97c_cbthr access type : write-only ? tdata: transmit data data to be sent on channel x. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???? rdata 15 14 13 12 11 10 9 8 rdata 76543210 rdata 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???? tdata 15 14 13 12 11 10 9 8 tdata 76543210 tdata
725 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.8 ac?97 controller channel a status register register name : ac97c_casr access type : read-only 40.7.9 ac?97 controller channel b status register register name : ac97c_cbsr access type : read-only 40.7.10 ac?97 controller codec channel status register register name : ac97c_cosr access type : read-only ? txrdy: channel transmit ready 0: data has been loaded in channel transmit register and is waiting to be loaded in the channel transmit shift register. 1: channel transmit register is empty. ? txempty: channel transmit empty 0: data remains in the channel transmit register or is currently transmitted from the channel transmit shift register. 1: data in the channel transmit register have been loaded in the channel transmit shift register and sent to the codec. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 rxbuff endrx ? ? txbufe endtx ? ? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy
726 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? unrun: transmit underrun active only when variable rate mode is enabled (vra bit set in the ac97c_mr register). automatically cleared by a pro- cessor read operation. 0: no data has been requested from the channel since the last read of the status register, or data has been available each time the codec requested new data from the channel since the last read of the status register. 1: data has been emitted while no valid data to send has been previously loaded into the channel transmit shift register since the last read of the status register. ? rxrdy: channel receive ready 0: channel receive holding register is empty. 1: data has been received and loaded in channel receive holding register. ? ovrun: receive overrun automatically cleared by a processor read operation. 0: no data has been loaded in the channel receive holding register while previous data has not been read since the last read of the status register. 1: data has been loaded in the channel receive holding regi ster while previous data has not yet been read since the last read of the status register. ? endrx: end of reception for channel x 0: the register ac97c_cxrcr has not reached 0 si nce the last write in ac97c_cxrcr or ac97c_cxrncr. 1: the register ac97c_cxrcr has reached 0 since the last write in ac97c_cxrcr or ac97c_cxrncr. ? rxbuff: receive buffer full for channel x 0: ac97c_cxrcr or ac97c_cxrncr have a value other than 0. 1: both ac97c_cxrcr and ac97c_cxrncr have a value of 0. ? endtx: end of transmission for channel x 0: the register ac97c_cxtcr has not reached 0 since the last write in ac97c_cxtcr or ac97c_cxncr. 1: the register ac97c_cxtcr has reached 0 since the last write in ac97c_cxtcr or ac97c_cxtncr. ? txbufe: transmit buffer empty for channel x 0: ac97c_cxtcr or ac97c_cxtncr have a value other than 0. 1: both ac97c_cxtcr and ac97c_cxtncr have a value of 0.
727 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.11 ac?97 controller channel a mode register register name : ac97c_camr access type : read/write 40.7.12 ac?97 controller channel b mode register register name : ac97c_cbmr access type : read/write ? cem: channel x endian mode 0: transferring data through channel x is straightforward (little-endian). 1: transferring data through channel x from/to a memory is performed with from/to big-endian format translation. ? size: channel x data size size encoding note: each time slot in the data phase is 20 bits long. for exampl e, if a 16-bit sample stream is being played to an ac97 dac, t he first 16 bit positions are presented to the dac msb-justified. they ar e followed by the next four bit positions that the ac?97 contro ller fills with zeroes. this process ensures that the least significant bits do not introduce any dc biasing, regardless of the impl e- mented dac?s resolution (16-, 18-, or 20-bit). ? cen: channel x enable 0: data transfer is disabled on channel x. 1: data transfer is enabled on channel x. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? pdcen cen ? ? cem size 15 14 13 12 11 10 9 8 rxbuff endrx ? ? txbufe endtx ? ? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? cen ? ? cem size 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy size selected channel 0x0 20 bits 0x1 18bits 0x2 16 bits 0x3 10 bits
728 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? pdccen: peripheral data controller channel enable 0: channel x is not transferred through a peripheral data controller channel. related pdc flags are ignored or not generated. 1: channel x is transferred through a peripheral data controller channel. related pdc flags are taken into account or generated.
729 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.13 ac?97 controller codec channel mode register register name : ac97c_comr access type : read/write ? txrdy: channel transmit ready interrupt enable ? txempty: channel transmit empty interrupt enable ? unrun: transmit underrun interrupt enable ? rxrdy: channel receive ready interrupt enable ? ovrun: receive overrun interrupt enable ? endrx: end of reception for channel x interrupt enable ? rxbuff: receive buffer full for channel x interrupt enable ? endtx: end of transmission for channel x interrupt enable ? txbufe: transmit buffer empty for channel x interrupt enable 0: read: the corresponding interrupt is disabled. write: disables the corresponding interrupt. 1: read: the corresponding interrupt is enabled. write: enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ovrun rxrdy ? unrun txempty txrdy
730 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.14 ac?97 controller status register register name : ac97c_sr access type : read-only wkup and sof flags in ac97c_sr register are auto matically cleared by a processor read operation. ? sof: start of frame 0: no start of frame has been detected since the last read of the status register. 1: at least one start of frame has been detected since the last read of the status register. ? wkup: wake up detection 0: no wake-up has been detected. 1: at least one rising edge on sdata_in has been asynchro nously detected. that means ac?97 codec has notified a wake-up. ? coevt: codec channel event a codec channel event occurs when ac97c _cosr and ac97c_comr is not 0. co evt flag is automatically cleared when the channel event condition is cleared. 0: no event on the codec channel has been detected since the last read of the status register. 1: at least one event on th e codec channel is active. ? caevt: channel a event a channel a event occurs when ac97c_casr and ac97c_camr is not 0. caevt flag is automatica lly cleared when the channel event condition is cleared. 0: no event on the channel a has been detected since the last read of the status register. 1: at least one event on the channel a is active. ? cbevt: channel b event a channel b event occurs when ac97c_cbsr and ac97c_cbmr is not 0. cbevt flag is automatica lly cleared when the channel event condition is cleared. 0: no event on the channel b has been detected since the last read of the status register. 1: at least one event on the channel b is active. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? cbevt caevt coevt wkup sof
731 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.15 ac?97 controller interrupt enable register register name : ac97c_ier access type : write-only ? sof: start of frame ?wkup: wake up ? coevt: codec event ? caevt: channel a event ? cbevt: channel b event 0: no effect. 1: enables the corresponding interrupt. 40.7.16 ac?97 controller interrupt disable register register name : ac97c_idr access type : write-only ? sof: start of frame ?wkup: wake up ? coevt: codec event ? caevt: channel a event ? cbevt: channel b event 0: no effect. 1: disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? cbevt caevt coevt wkup sof 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? cbevt caevt coevt wkup sof
732 6289d?atarm?3-oct-11 at91sam9r64/rl64 40.7.17 ac?97 controller interrupt mask register register name : ac97c_imr access type : read-only ? sof: start of frame ?wkup: wake up ? coevt: codec event ? caevt: channel a event ? cbevt: channel b event 0: the corresponding interrupt is disabled. 1: the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ? cbevt caevt coevt wkup sof
733 6289d?atarm?3-oct-11 at91sam9r64/rl64 41. usb high speed device port (udphs) 41.1 description the usb high speed device port (udphs) is comp liant with the universal serial bus (usb), rev 2.0 high speed device specification. each endpoint can be configured in one of several usb transfer types. it can be associated with one, two or three banks of a dual-port ram used to store the current data payload. if two or three banks are used, one dpr bank is read or written by the processor, while the other is read or written by the usb device peripheral. this feature is mandatory for isochronous endpoints. note: 1. in isochronous mode (iso), it is prefer able that high band width capability is available. the size of internal dpram is 4 kb. suspend and resume are automatically detected by the udphs device, which notifies the pro- cessor by raising an interrupt. table 41-1. udphs endpoint description endpoint # mnemonic nb bank dma high band width max. endpoint size endpoint type 0 ept_0 1 n n 64 control 1 ept_1 2 y n 1024 ctrl/bulk/iso (1) /interrupt 2 ept_2 2 y n 1024 ctrl/bulk/iso (1) /interrupt 3 ept_3 3 y y 1024 ctrl/bulk/iso (1) /interrupt 4 ept_4 3 y y 1024 ctrl/bulk/iso (1) /interrupt 5 ept_5 3 y y 1024 ctrl/bulk/iso (1) /interrupt 6 ept_6 3 y y 1024 ctrl/bulk/iso (1) /interrupt
734 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.2 block diagram figure 41-1. block diagram: 32 bits system clock domain usb clock domain ctrl status rd/wr/ready apb interface usb2.0 core ept alloc ahb1 dma ahb0 local ahb slave interface master ahb multiplexeur slave dpram utmi 16/8 bits apb bus ahb bus apb bus
735 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.3 typical connection figure 41-2. board schematic note: the values shown on the 22 k and 15 k resistors are only valid with 3v3 supplied pios. 41.4 functional description 41.4.1 usb v2.0 high speed device port introduction the usb v2.0 high speed device port provides communication services between host and attached usb devices. each device is offered with a collection of communication flows (pipes) associated with each endpoint. software on the host communicates with a usb device through a set of communication flows. 41.4.2 usb v2.0 high speed transfer types a communication flow is carried over one of f our transfer types defined by the usb device. a device provides several logical communication pipes with the host. to each logical pipe is associated an endpoint. transfer through a pipe belongs to one of the four transfer types: ? control transfers: used to configure a device at attach time and can be used for other device- specific purposes, including control of other pipes on the device. ? ? bulk data transfers: generated or consumed in relatively large burst quantities and have wide dynamic latitude in transmission constraints. ? ? interrupt data transfers: used for timely but reliable delivery of data, for example, characters or coordinates with human-perceptible echo or feedback response characteristics. ? isochronous data transfers: occupy a prenegotiated amount of usb bandwidth with a prenegotiated delivery latency. (also called streaming real time transfers.) as indicated below, transfers are sequential events carried out on the usb bus. endpoints must be configured according to the transfer type they handle. 6k8 1% pio (vbus detect) dhsdp dhsdm dfsdm dfsdp vbg gnd c rpb :1f to 10f c rpb 1 4 2 3 39 5% 39 5% 10 pf "b" receptacle 1 = vbus 2 = d- 3 = d+ 4 = gnd shell = shield 15k 22k (1) (1)
736 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.3 usb transfer event definitions a transfer is composed of one or several transactions; notes: 1. control transfer must use endpoints with one bank and can be aborted using a stall handshake. 2. isochronous transfers must use endpoint s configured with two or three banks. an endpoint handles all transactions related to the type of transfer for which it has been configured. 41.4.4 usb v2.0 high speed bus transactions each transfer results in one or more transactions over the usb bus. there are five kinds of transactions flowing across the bus in packets: 1. setup transaction 2. data in transaction 3. data out transaction 4. status in transaction 5. status out transaction table 41-2. usb communication flow transfer direction bandwidth endpoi nt size error detection retrying control bidirectional not guaranteed 8,16,32,64 yes automatic isochronous unidirectional guaranteed 8-1024 yes no interrupt unidirectional not guaranteed 8-1024 yes yes bulk unidirectional not guaranteed 8-512 yes yes table 41-3. usb transfer events control (bidirectional) control transfers (1) ? setup transaction data in transactions status out transaction ? setup transaction data out transactions status in transaction ? setup transaction status in transaction in (device toward host) bulk in transfer ? data in transaction data in transaction interrupt in transfer ? data in transaction data in transaction isochronous in transfer (2) ? data in transaction data in transaction out (host toward device) bulk out transfer ? data out transaction data out transaction interrupt out transfer ? data out transaction data out transaction isochronous out transfer (2) ? data out transaction data out transaction
737 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-3. control read and write sequences a status in or out transaction is identical to a data in or out transaction. 41.4.5 endpoint configuration the endpoint 0 is always a control endpoint, it must be programmed and active in order to be enabled when the end of reset interrupt occurs. to configure the endpoints: ? fill the configuration register (udphs_eptcfg) with the endp oint size, direction (in or out), type (ctrl, bulk, it, iso) and the number of banks. ? fill the number of transactions (n b_trans) for isochronous endpoints. note: for control endpoints the direction has no effect. ? verify that the ept_mapd flag is set. this flag is set if the endpoint size and the number of banks are correct compared to the fifo maximum capacity and the maximum number of allowed banks. ? configure control flags of the endpoint and enable it in udphs_eptctlenbx according to ?udphs endpoint control register? on page 787 . control endpoints can generate interrupts and use only 1 bank. all endpoints (except endpoint 0) can be configur ed either as bulk, interrupt or isochronous. see table 41-1. udphs endpoint description . the maximum packet size they can accept corresponds to the maximum endpoint size. note: the endpoint size of 1024 is reserved for isochronous endpoints. the size of the dpram is 4 kb. the dpr is shared by all active endpoints. the memory size required by the active endpoints must not exceed the size of the dpram. size_dpram = size _ept0 control write setup tx data out tx data out tx data stage control read setup stage setup stage setup tx setup tx no data control data in tx data in tx status stage status stage status in tx status out tx status in tx data stage setup stage status stage
738 6289d?atarm?3-oct-11 at91sam9r64/rl64 + nb_bank_ept1 x size_ept1 + nb_bank_ept2 x size_ept2 + nb_bank_ept3 x size_ept3 + nb_bank_ept4 x size_ept4 + nb_bank_ept5 x size_ept5 + nb_bank_ept6 x size_ept6 +... (refer to 41.5.16 udphs endpoint configuration register ) if a user tries to configure endpoints with a size the sum of which is greater than the dpram, then the ept_mapd is not set. the application has access to the physical block of dpr reserved for the endpoint through a 64 kb logical address space. the physical block of dpr allocated for the endpoint is remapped all along the 64 kb logical address space. the application can write a 64 kb buffer linearly. figure 41-4. logical address space for dpr access: configuration examples of udphs_eptctlx ( udphs endpoint control register ) for bulk in endpoint type follow below. ?with dma ? auto_valid: automatically validate the packet and switch to the next bank. ? ept_enabl: enable endpoint. ? without dma: ? tx_bk_rdy: an interrupt is generated after each transmission. 64 kb ep0 64 kb ep1 64 kb ep2 dpr logical address 8 to 64 b 8 to1024 b 8 to1024 b 8 to1024 b 64 kb ep3 ... 8 to 64 b 8 to 64 b 8 to 64 b ... ... x banks y banks z banks 8 to1024 b 8 to1024 b 8 to1024 b
739 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? ept_enabl: enable endpoint. configuration examples of bulk out endpoint type follow below. ?with dma ? auto_valid: automatically validate the packet and switch to the next bank. ? ept_enabl: enable endpoint. ? without dma ? rx_bk_rdy: an interrupt is sent after a new packet has been stored in the endpoint fifo. ? ept_enabl: enable endpoint.
740 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.6 transfer with dma usb packets of any length may be transferred when required by the udphs device. these transfers always feature sequential addressing. packet data ahb bursts may be locked on a dma buffer basis for drastic overall ahb bus band- width performance boost with pa ged memories. these clock-cycl e consuming memory row (or bank) changes will then likely not occur, or occu r only once instead of dozens times, during a single big usb packet dma transfer in case another ahb master addresses the memory. this means up to 128-word single-cycle unbroken ahb bursts for bulk endpoints and 256-word sin- gle-cycle unbroken bursts for isochronous endpo ints. this maximum burst length is then controlled by the lowest programmed usb endpoint size (ept_size bit in the udphs_eptcfgx register) and dma size (buff_length bit in the udphs_dmacontrolx register). the usb 2.0 device average throughput may be up to nearly 60 mbytes. its internal slave aver- age access latency decreases as bu rst length increases due to the 0 wait-state side effect of unchanged endpoi nts. if at least 0 wait-state word burst capability is also pr ovided by the exter- nal dma ahb bus slaves, eac h of both dma ahb busses need less than 50% bandwidth allocation for full usb 2.0 bandwidth usage at 30 mhz, and less than 25% at 60 mhz. the udphs dma channel transfer descriptor is described in ?udphs dma channel transfer descriptor? on page 798 . note: in case of debug, be careful to address the dma to an sram address even if a remap is done. figure 41-5. example of dma chained list: data buff 1 data buff 2 data buff 3 memory area transfer descriptor next descriptor address dma channel address dma channel control transfer descriptor next descriptor address dma channel address dma channel control transfer descriptor next descriptor address dma channel address dma channel control udphs registers (current transfer descriptor) udphs next descriptor dma channel address dma channel control null
741 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.7 transfer without dma important. if the dma is not to be used, it is necessary that it be disabled because otherwise it can be enabled by previous versions of software without warning . if this should occur, the dma can process data before an interrupt without knowledge of the user. the recommended means to disable dma is as follows: // reset ip udphs at91c_base_udphs->udphs_ctrl &= ~at91c_udphs_en_udphs; at91c_base_udphs->udphs_ctrl |= at91c_udphs_en_udphs; // with or without dma !!! for( i=1; i<=((at91c_base_udphs->udphs_ipfeatures & at91c_udphs_dma_channel_nbr)>>4); i++ ) { // reset endpoint canal dma: // dma stop channel command at91c_base_udphs->udphs_dma[i].udphs_dmacontrol = 0; // stop command // disable endpoint at91c_base_udphs->udphs_ept[i].udphs_eptctldis |= 0xffffffff; // reset endpoint config at91c_base_udphs->udphs_ept[i].udphs_eptctlcfg = 0; // reset dma channel (buff count and control field) at91c_base_udphs->udphs_dma[i].udphs_dmacontrol = 0x02; // non stop command // reset dma channel 0 (stop) at91c_base_udphs->udphs_dma[i].udphs_dmacontrol = 0; // stop command // clear dma channel status (read the register for clear it) at91c_base_udphs->udphs_dma[i].udphs_dmastatus = at91c_base_udphs->udphs_dma[i].udphs_dmastatus; } 41.4.8 handling transactions with usb v2.0 device peripheral 41.4.8.1 setup transaction the setup packet is valid in the dpr while rx_s etup is set. once rx_setup is cleared by the application, the udphs accepts the next packets sent over the device endpoint. when a valid setup packet is accepted by the udphs: ? the udphs device automatically acknowledges the setup packet (sends an ack response) ? payload data is written in the endpoint ? sets the rx_setup interrupt ? the byte_count field in the udphs_eptstax register is updated an endpoint interrupt is generated while rx_ setup in the udphs_eptstax register is not cleared. this interrupt is carried out to the microcontroller if interrupts are enabled for this endpoint.
742 6289d?atarm?3-oct-11 at91sam9r64/rl64 thus, firmware must detect rx_setup polling udphs_eptstax or catching an interrupt, read the setup packet in the fifo, then clear the rx_setup bit in the udphs_eptclrsta register to acknowledge the setup stage. if stall_snt was set to 1, then this bit is automatically reset when a setup token is detected by the device. then, the device still accepts the setup stage. (see section 41.4.8.15 ?stall? on page 753 ). 41.4.8.2 nyet nyet is a high speed only handshake. it is retu rned by a high speed endpoint as part of the ping protocol. high speed devices must support an improved nak mechanism for bulk out and control end- points (except setup stage). this mechanism allows the device to tell the host whether it has sufficient endpoint space for the next out transfe r (see usb 2.0 spec 8.5.1 nak limiting via ping flow control). the nyet/ack response to a high speed bulk out transfer and the ping response are auto- matically handled by hardware in the udphs_ept ctlx register (except when the user wants to force a nak response by using the nyet_dis bit). if the endpoint responds instead to the out/data transaction with an nyet handshake, this means that the endpoint accepted the data but does not have room for another data payload. the host controller must return to using a ping token until the endpoint indicates it has space available. figure 41-6. nyet example with two endpoint banks 41.4.8.3 data in 41.4.8.4 bulk in or interrupt in data in packets are sent by the device during the data or the status stage of a control transfer or during an (interrupt/bulk/isochronous) in transfer. data buffers are sent packet by packet under the control of the application or under the control of the dma channel. there are three ways for an application to transfer a buffer in several packets over the usb: ? packet by packet (see 41.4.8.5 below) ? 64 kb (see 41.4.8.5 below) ? dma (see 41.4.8.6 below) 41.4.8.5 bulk in or interrupt in: sending a packet under application control (device to host) the application can write one or several banks. t = 0 t = 125 s t = 250 s t = 375 s t = 500 s t = 625 s data 0 ack data 1 nyet ping ack data 0 nyet ping nack ping ack bank 1 bank 0 bank 0 bank 1 bank 0 bank 1 bank 0 bank 1 bank 0 bank 1 bank 0 bank 1 bank 0 bank 1 e f f e f e' f e f f e' f e f e: empty e': begin to empty f: full
743 6289d?atarm?3-oct-11 at91sam9r64/rl64 a simple algorithm can be used by the application to send packets regardless of the number of banks associated to the endpoint. algorithm description for each packet: ? the application waits for tx_pk_rdy flag to be cleared in the udphs_eptstax register before it can perform a write access to the dpr. ? the application writes one usb packet of data in the dpr through the 64 kb endpoint logical memory window. ? the application sets tx_pk_rdy flag in the udphs_eptsetstax register. the application is notified that it is possible to write a new packet to the dpr by the tx_pk_rdy interrupt. this interrupt can be enabled or masked by setting the tx_pk_rdy bit in the udphs_eptctlenb/udp hs_eptctldis register. algorithm description to fill several packets: using the previous algorithm, the application is interrupted for each packet. it is possible to reduce the application overhead by writing linearly several banks at the same time. the auto_valid bit in the udphs_eptctlx must be set by writing the auto_valid bit in the udphs_eptctlenbx register. the auto-valid-bank mechanism allows the transfer of data (in and out) without the interven- tion of the cpu. this means that bank validation (set tx_pk_rdy or clear the rx_bk_rdy bit) is done by hardware. ? the application checks the busy_bank_sta field in the udphs_eptstax register. the application must wait that at least one bank is free. ? the application writes a number of bytes inferior to the number of free dpr banks for the endpoint. each time the application writes the last byte of a bank, the tx_pk_rdy signal is automatically set by the udphs. ? if the last packet is incomplete (i.e., the last byte of the bank has not been written) the application must set the tx_pk_rdy bit in the udphs_eptsetstax register. the application is notified that all banks are free, so that it is possible to write another burst of packets by the busy_bank interrupt. this interrupt can be enabled or masked by setting the busy_bank flag in the udphs_eptctle nb and udphs_eptctldis registers. this algorithm must not be used for isochronous transfer. in this case, the ping-pong mechanism does not operate. a zero length packet can be sent by setting just the tx_pktrdy flag in the udphs_eptsetstax register. 41.4.8.6 bulk in or interrupt in: sending a buffer using dma (device to host) the udphs integrates a dma host controller. this dma controller can be used to transfer a buf- fer from the memory to the dpr or from the dpr to the processor memory under the udphs control. the dma can be used for all transfer types except control transfer. example dma configuration: 1. program udphs_dmaaddress x with the addr ess of the buffer that should be transferred. 2. enable the interrupt of the dma in udphs_ien 3. program udphs_ dmacontrolx:
744 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? size of buffer to send: size of the buffer to be sent to the host. ? end_b_en: the endpoint can validate the packet (according to the values programmed in the auto_valid and shrt_pckt fields of udphs_eptctlx.) (see ?udphs endpoint control register? on page 787 and figure 41-11. autovalid with dma ) ? end_buffit: generate an interrupt when the buff_count in udphs_dmastatusx reaches 0. ? chann_enb: run and stop at end of buffer the auto-valid-bank mechanism allows the transfer of data (in & out) without the intervention of the cpu. this means that bank validation (s et tx_pk_rdy or clear the rx_bk_rdy bit) is done by hardware. a transfer descriptor can be used. instead of programming the register directly, a descriptor should be programmed and the address of this descriptor is then given to udphs_dmanxtdsc to be processed after setting the ldnxt_dsc field (load next descrip- tor now) in udphs_dmacontrolx register. the structure that defines this transfer descriptor must be aligned. each buffer to be transferred must be described by a dma transfer descriptor (see ?udphs dma channel transfer descriptor? on page 798 ). transfer descriptors are chained. before exe- cuting transfer of the buffer, the udphs may fetch a new transfer descriptor from the memory address pointed by the udphs_dmanxtdscx regi ster. once the transfer is complete, the transfer status is updated in the udphs_dmastatusx register. to chain a new transfer descriptor with the current dma transfer, the dma channel must be stopped. to do so, intdis_dma and tx_bk_rdy may be set in the udphs_eptctlenbx register. it is also possible for the application to wait for the completion of all transfers. in this case the ldnxt_dsc field in the last transfer descriptor udphs_dmacontrolx register must be set to 0 and chann_enb set to 1. then the application can chain a new transfer descriptor. the intdis_dma can be used to stop the current dma transfer if an enabled interrupt is trig- gered. this can be used to stop dma transfers in case of errors. the application can be notified at the end of any buffer transfer (enb_buffit bit in the udphs_dmacontrolx register).
745 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-7. data in transfer for endpoint with one bank figure 41-8. data in transfer for endpoint with two banks usb bus packets fifo content tx_complt flag (udphs_eptstax) tx_pk_rdy flag (udphs_eptstax) prevous data in tx microcontroller loads data in fifo data is sent on usb bus interrupt pending set by firmware cleared by hardware set by the firmware cleared by hardware interrupt pending cleared by firmware dpr access by firmware dpr access by hardware cleared by firmware payload in fifo set by hardware data in 2 token in nak ack data in 1 token in token in ack data in 1 load in progress data in 2 read by usb device read by udphs device fifo (dpr) bank 0 tx_complt flag (udphs_eptstax) interrupt cleared by firmware virtual tx_pk_rdy bank 1 (udphs_eptstax) ack token in ack set by firmware, data payload written in fifo bank 1 cleared by hardware data payload fully transmitted token in usb bus packets set by hardware set by hardware set by firmware, data payload written in fifo bank 0 written by fifo (dpr) bank1 microcontroller written by microcontroller written by microcontroller microcontroller load data in bank 0 microcontroller load data in bank 1 udphs device send bank 0 microcontroller load data in bank 0 udphs device send bank 1 interrupt pending data in data in cleared by hardware switch to next bank virtual tx_pk_rdy bank 0 (udphs_eptstax)
746 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-9. data in followed by status out transfer at the end of a control transfer note : a nak handshake is always generated at the first status stage token. figure 41-10. data out followed by status in transfer note : before proceeding to the status stage, the software should determine that there is no risk of extra data from the host (data stage). if not certain (non-predictable data stage length), then the software should wait for a nak-in interrupt before proceeding to the status stage. this pre- caution should be taken to av oid collision in the fifo. token out data in token in ack ack data out (zlp) rx_bk_rdy (udphs_eptstax) tx_complt (udphs_eptstax) set by hardware set by hardware usb bus packets cleared by firmware cleared by firmware device sends a status out to host device sends the last data payload to host interrupt pending token out ack data out (zlp) token in ack data out token out ack data in usb bus packets rx_bk_rdy (udphs_eptstax) cleared by firmware set by hardware clear by hardware tx_pk_rdy (udphs_eptstax) set by firmware host sends the last data payload to the device device sends a status in to the host interrupt pending
747 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-11. autovalid with dma note: in the illustration above autovalid validates a bank as full, although this might not be the case, in order to continue pr ocessing data and to send to dma. 41.4.8.7 isochronous in isochronous-in is used to transmit a stream of data whose timing is implied by the delivery rate. isochronous transfer provides periodic, continuous communication between host and device. it guarantees bandwidth and low latencies appropriate for telephony, audio, video, etc. if the endpoint is not available (tx_pk_rdy = 0), then the device does not answer to the host. an err_fl_iso interrupt is generated in the udphs_eptstax register and once enabled, then sent to the cpu. the stall_snt command bit is not used for an iso-in endpoint. 41.4.8.8 high bandwidth isochronous endpoint handling: in example for high bandwidth isochronous endpoints, the dma can be programmed with the number of transactions (buff_length field in udphs_ dmacontrolx) and the system should provide bank 0 bank 1 bank 0 bank (usb) write write bank 0 write bank 1 write bank 0 bank 0 bank (system) bank 1 bank 0 bank 1 virtual tx_pk_rdy bank 0 virtual tx_pk_rdy bank 1 tx_pk_rdy (virtual 0 & virtual 1) bank 0 is full bank 1 is full bank 0 is full in data 0 in data 1 in data 0 bank 1 bank 1 bank 0
748 6289d?atarm?3-oct-11 at91sam9r64/rl64 the required number of packets per microframe, otherwise, the host will notice a sequencing problem. a response should be made to the first token in recognized inside a microframe under the fol- lowing conditions: ? if at least one bank has been validated, the correct datax corresponding to the programmed number of transactions per microframe (nb_trans) should be answered. in case of a subsequent missed or corrupted token in inside the microframe, the usb 2.0 core available data bank(s) that should normally have been transmitted during that microframe shall be flushed at its end. if this flush occurs, an er ror condition is flagg ed (err_flush is set in udphs_eptstax). ? if no bank is validated yet, the default data0 zlp is answered and underflow is flagged (err_fl_iso is set in udphs_ eptstax). then, no data bank is flushed at microframe end. ? if no data bank has been validated at the time when a response should be made for the second transaction of nb_trans = 3 transac tions microframe, a data1 zlp is answered and underflow is flagged (err_fl_iso is set in udphs_eptstax). if and only if remaining untransmitted banks for that microframe are available at its end, they are flushed and an error condition is flagged (err_flus h is set in udphs_eptstax). ? if no data bank has been validated at the time when a response should be made for the last programmed transaction of a microframe, a data0 zlp is answered and underflow is flagged (err_fl_iso is set in udphs_eptstax). if and only if the remaining untransmitted data bank for that microframe is available at its end, it is flushed and an error condition is flagged (err_flush is set in udphs_eptstax). ? if at the end of a microframe no valid token in has been recognized, no data bank is flushed and no error condition is reported. at the end of a microframe in which at least one data bank has been transmitted, if less than nb_trans banks have been validated for that microframe, an error condition is flagged (err_trans is set in udphs_eptstax). cases of error (in udphs_eptstax) ? err_fl_iso: there was no data to transmit inside a microframe, so a zlp is answered by default. ? err_flush: at least one packet has been sent inside the microframe, but the number of token in received is lesser than the number of transactions actually validated (tx_bk_rdy) and likewise with the nb_trans programmed. ? err_trans: at least one packet has been sent inside the microframe, but the number of token in received is lesser than the number of programmed nb_trans transactions and the packets not requested were not validated. ? err_fl_iso + err_flush: at least one packet has been sent inside the microframe, but the data has not been validated in time to answer one of the following token in. ? err_fl_iso + err_trans: at least one packet has been sent inside the microframe, but the data has not been validated in time to answer one of the following token in and the data can be discarded at the microframe end. ? err_flush + err_trans: the first token in has been answered and it was the only one received, a second bank has been validated but not the third, whereas nb_trans was waiting for three transactions.
749 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? err_fl_iso + err_flush + err_trans: the first token in has been treated, the data for the second token in was not available in time, but the second bank has been validated before the end of the microframe. the third bank has not been validated, but three transactions have been set in nb_trans. 41.4.8.9 data out 41.4.8.10 bulk out or interrupt out like data in, data out packets are sent by the host during the data or the status stage of con- trol transfer or during an interrupt/bulk/isochronous out transfer. data buffers are sent packet by packet under the control of the application or under the control of the dma channel. 41.4.8.11 bulk out or interrupt out: receiving a packet under application control (host to device) algorithm description for each packet: ? the application enables an interrupt on rx_bk_rdy. ? when an interrupt on rx_bk_rdy is received , the application knows that udphs_eptstax register byte_count bytes have been received. ? the application reads the byte_count bytes from the endpoint. ? the application clears rx_bk_rdy. note: if the application does not know the size of the transfer, it may not be a good option to use auto_valid. because if a zero-length-packet is received, the rx_bk_rdy is automatically cleared by the auto_valid hardwa re and if the endpoint interrupt is triggered, the software will not find its originating flag when reading the udphs_eptstax register. algorithm to fill several packets: ? the application enables the interrupts of busy_bank and auto_valid. ? when a busy_bank interrupt is received, the application knows that all banks available for the endpoint have be en filled. thus, the application can read all banks available. if the application doesn?t know the size of t he receive buffer, instead of using the busy_bank interrupt, the application must use rx_bk_rdy. 41.4.8.12 bulk out or interrupt out: sending a buffer using dma (host to device) to use the dma setting, the auto_valid field is mandatory. see 41.4.8.6 bulk in or interrupt in: sending a buffer using dma (device to host) for more information. dma configuration example: 1. first program udphs_dmaaddressx with the address of the buff er that should be transferred. 2. enable the interrupt of the dma in udphs_ien 3. program the dma channe lx control register: ? size of buffer to be sent. ? end_b_en: can be used for out packet truncation (discarding of unbuffered packet data) at the end of dma buffer.
750 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? end_buffit: generate an interrupt when buff_count in the udphs_dmastatusx register reaches 0. ? end_tr_en: end of transfer enable, the udphs device can put an end to the current dma transfer, in case of a short packet. ? end_tr_it: end of transfer interrupt enable, an interrupt is sent after the last usb packet has been transferred by the dma, if the usb transfer ended with a short packet. (beneficial when the receive size is unknown.) ? chann_enb: run and stop at end of buffer. for out transfer, the bank will be automatical ly cleared by hardware when the application has read all the bytes in the bank (the bank is empty). note: when a zero-length-packet is received, rx_bk_rdy bit in udphs_eptstax is cleared automatically by auto_valid, and the application knows of the end of buffer by the presence of the end_tr_it. note: if the host sends a zero-length packet, and the endpoint is free, then the device sends an ack. no data is written in the endpoint, the rx_by_rdy interrupt is generated, and the byte_count field in udphs_eptstax is null. figure 41-12. data out transfer for endpoint with one bank ack token out nak token out ack token out data out 1 usb bus packets rx_bk_rdy set by hardware cleared by firmware, data payload written in fifo fifo (dpr) content written by udphs device microcontroller read data out 1 data out 1 data out 2 host resends the next data payload microcontroller transfers data host sends data payload data out 2 data out 2 host sends the next data payload written by udphs device (udphs_eptstax) interrupt pending
751 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-13. data out transfer for an endpoint with two banks 41.4.8.13 high bandwidth isochronous endpoint out figure 41-14. bank management, example of three transactions per microframe usb 2.0 supports individual high speed isochronous endpoints that require data rates up to 192 mb/s (24 mb/s): 3x1024 data bytes per microframe. to support such a rate, two or three banks ma y be used to buffer the three consecutive data packets. the microcontroller (or the dma) should be able to empty the banks very rapidly (at least 24 mb/s on average). nb_trans field in udphs_eptcfgx register = number of transactions per microframe. if nb_trans > 1 then it is high bandwidth. token out ack data out 3 token out data out 2 token out data out 1 data out 1 data out 2 data out 2 ack cleared by firmware usb bus packets virtual rx_bk_rdy bank 0 virtual rx_bk_rdy bank 1 set by hardware data payload written in fifo endpoint bank 1 fifo (dpr) bank 0 bank 1 write by udphs device write in progress read by microcontroller read by microcontroller set by hardware, data payload written in fifo endpoint bank 0 host sends first data payload microcontroller reads data 1 in bank 0, host sends second data payload microcontroller reads data 2 in bank 1, host sends third data payload cleared by firmware write by hardware fifo (dpr) (udphs_eptstax) interrupt pending interrupt pending rx_bk_rdy = (virtual bank 0 | virtual bank 1) data out 1 data out 3 m data 0 m data 0 m data 1 data 2 data 2 m data 1 t = 0 t = 52.5 s (40% of 125 s) rx_bk_rdy t = 125 s rx_bk_rdy usb line read bank 3 read bank 2 read bank 1 read bank 1 usb bus transactions microcontroller fifo (dpr) access
752 6289d?atarm?3-oct-11 at91sam9r64/rl64 example: ? if nb_trans = 3, the sequence should be either ?mdata0 ? mdata0/data1 ? mdata0/data1/data2 ? if nb_trans = 2, the sequence should be either ?mdata0 ? mdata0/data1 ? if nb_trans = 1, the sequence should be ? data0 41.4.8.14 isochronous endpoint handling: out example the user can ascertain the bank status (free or busy), and the toggle sequencing of the data packet for each bank with the udphs_eptstax register in the three bit fields as follows: ? togglesq_sta: pid of the data stored in the current bank ? current_bank: number of the bank currently being accessed by the microcontroller. ? busy_bank_sta: number of busy bank this is particularly useful in case of a missing data packet. if the inter-packet delay between the out token and the data is greater than the usb standard, then the iso-out transaction is ignored. (payload data is not written, no interrupt is generated to the cpu.) if there is a data crc (cyclic redundancy check) error, the payload is, none the less, written in the endpoint. the err_criso flag is set in udphs_eptstax register. if the endpoint is already full, the packet is not written in the dpram. the err_fl_iso flag is set in udphs_eptstax. if the payload data is greater than the maximum size of the endpoint, then the err_ovflw flag is set. it is the task of the cpu to manage this error. the data packet is written in the endpoint (except the extra data). if the host sends a zero length packet, and the endpoint is free, no data is written in the end- point, the rx_bk_rdy flag is set, and the byt e_count field in udphs_eptstax register is null. the frcestall command bit is unused for an isochronous endpoint. otherwise, payload data is written in the endpoint, the rx_bk_rdy interrupt is generated and the byte_count in udphs_eptst ax register is updated.
753 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.8.15 stall stall is returned by a function in response to an in token or after the data phase of an out or in response to a ping transaction. stall indicates that a function is unable to transmit or receive data, or that a control pipe request is not supported. ?out to stall an endpoint, set the frcestall bit in udphs_eptsetstax register and after the stall_snt flag has been set, set the toggle_seg bit in the udphs_eptclrstax register. ?in set the frcestall bit in udphs_eptsetstax register. figure 41-15. stall handshake data out transfer figure 41-16. stall handshake data in transfer token out stall pid data out usb bus packets cleared by firmware set by firmware frcestall stall_snt set by hardware interrupt pending cleared by firmware token in stall pid usb bus packets cleared by firmware set by firmware frcestall stall_snt set by hardware cleared by firmware interrupt pending
754 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.9 speed identification the high speed reset is managed by the hardware. at the connection, the host makes a reset which could be a classic reset (full speed) or a high speed reset. at the end of the re set process (full or high), the endreset interrupt is generated. then the cpu should read the speed bit in udphs_intstax to ascertain the speed mode of the device. 41.4.10 usb v2.0 high speed global interrupt interrupts are defined in section 41.5.3 ?udphs interrupt enable register? (udphs_ien) and in section 41.5.4 ?udphs interrupt status register? (udphs_intsta). 41.4.11 endpoint interrupts interrupts are enabled in udphs_ien (see section 41.5.3 ?udphs interrupt enable register? ) and individually masked in udphs_eptctlenbx (see section 41.5.17 ?udphs endpoint control enable register? ). . table 41-4. endpoint interrupt source masks shrt_pckt short packet interrupt busy_bank busy bank interrupt nak_out nakout interrupt nak_in/err_flush nakin/error flush interrupt stall_snt/err_criso/err_nb_tra stall sent/crc error/number of transaction error interrupt rx_setup/err_fl_iso received setup/error flow interrupt tx_pk_rd /err_trans tx packet read/transaction error interrupt tx_complt transmitted in da ta complete interrupt rx_bk_rdy received out data interrupt err_ovflw overflow error interrupt mdata_rx mdata interrupt datax_rx datax interrupt
755 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 41-17. udphs interrupt control interface det_suspd micro_sof ien_sof endreset wake_up endofrsm upstr_res usb global it sources ept0 it sources busy_bank nak_out (udphs_eptctlenbx) nak_in/err_flush stall_snt/err_criso/err_nb_tra rx_setup/err_fl_iso tx_bk_rdy/err_trans tx_complt rx_bk_rdy err_ovflw mdata_rx datax_rx (udphs_ien) ept1-6 it sources global it mask global it sources ep mask ep sources (udphs_ien) ept_int_0 ep mask ep sources (udphs_ien) ept_int_x (udphs_eptctlx) int_dis_dma dma ch x (udphs_dmacontrolx) en_buffit end_tr_it desc_ld_it mask mask mask (udphs_ien) dma_int_x shrt_pckt husb2dev interrupt disable dma channelx request
756 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.12 power modes 41.4.12.1 controllin g device states a usb device has several possible states. refer to chapter 9 (usb device framework) of the universal serial bus specification, rev 2.0. figure 41-18. udphs device state diagram movement from one state to another depends on the usb bus state or on standard requests sent through control transactions via the default endpoint (endpoint 0). after a period of bus inactivity, the us b device enters suspend mode. accepting sus- pend/resume requests from the usb host is mandatory. constraints in suspend mode are very strict for bus-powered applications; devices may not consume more than 500 a on the usb bus. while in suspend mode, the host may wake up a de vice by sending a resume signal (bus activ- ity) or a usb device may send a wake-up request to the host, e.g., waking up a pc by moving a usb mouse. the wake-up feature is not mandatory for all devices and must be negotiated with the host. attached suspended suspended suspended suspended hub reset or deconfigured hub configured bus inactive bus activity bus inactive bus activity bus inactive bus activity bus inactive bus activity reset reset address assigned device deconfigured device configured powered default address configured power interruption
757 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.12.2 not powered state self powered devices can detect 5v vbus using a pio. when the device is not connected to a host, device power consumption can be reduced by the detach bit in udphs_ctrl. dis- abling the transceiver is automatically done. hsdm, hsdp, fsdp and fsdp lines are tied to gnd pull-downs integrated in the hub downstream ports. 41.4.12.3 entering attached state when no device is connected, the usb fsdp and fsdm signals are tied to gnd by 15 k pull- downs integrated in the hub downstream por ts. when a device is attached to an hub down- stream port, the device connects a 1.5 k pull-up on fsdp. the usb bus line goes into idle state, fsdp is pulled-up by the device 1.5 k resistor to 3.3v and fsdm is pulled-down by the 15 k resistor to gnd of the host. after pull-up connection, the device enters the powered state. the transceiver remains disabled until bus activity is detected. in case of low power consumption need, the device can be stopped. when the device detects the vbus, the software must enable the usb transceiver by enabling the en_udphs bit in udphs_ctrl register. the software can detach the pull-up by setting detach bit in udphs_ctrl register. 41.4.12.4 from powered state to default state (reset) after its connection to a usb host, the usb device waits for an end-of-bus reset. the unmasked flag endreset is set in the udphs_ien re gister and an inte rrupt is triggered. once the endreset interrupt has be en triggered, the devi ce enters default st ate. in this state, the udphs software must: ? enable the default endpoint, setting the ept_enabl flag in the udphs_eptctlenb[0] register and, optionally, enabling the interrupt for endpoint 0 by writing 1 in ept_int_0 of the udphs_ien register. the enumeration then begins by a control transfer. ? configure the interrupt mask register which has been reset by the usb reset detection ? enable the transceiver. in this state, the en_udphs bit in udphs_ctrl register must be enabled. 41.4.12.5 from default state to address state (address assigned) after a set address standard device request, the usb host peripheral enters the address state. warning : before the device enters address state, it must achieve the status in transaction of the control transfer, i.e., the udphs device sets its new address once the tx_complt flag in the udphs_eptctl[0] register has been received and cleared. to move to address state, the driver software sets the dev_addr field and the faddr_en flag in the udphs_ctrl register. 41.4.12.6 from address state to configured state (device configured) once a valid set configuration standard request has been received and acknowledged, the device enables endpoints corresponding to the current configuration. this is done by setting the bk_number, ept_type, ept_dir and ept_size fields in the udphs_eptcfgx registers and enabling them by setting the ept_enabl flag in the udphs_eptctlenbx registers, and, optionally, enabling corresponding interrupts in the udphs_ien register.
758 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.12.7 entering suspend state (bus activity) when a suspend (no bus activity on the usb bus ) is detected, the det_suspd signal in the udphs_sta register is set. this triggers an in terrupt if the corresponding bit is set in the udphs_ien register. this flag is cleared by wr iting to the udphs_clrint register. then the device enters suspend mode. in this state bus powered devices must drain less than 500 a from the 5v vbus. as an exam- ple, the microcontroller switches to slow clock, disables the pl l and main osc illator, and goes into idle mode. it may also switch off other devices on the board. the udphs device peripheral clocks can be s witched off. resume ev ent is asynchronously detected. 41.4.12.8 receiving a host resume in suspend mode, a resume event on the usb bus line is detected asynchronously, transceiver and clocks disabled (however the pull-up should not be removed). once the resume is detected on the bus, the signal wake_up in the udphs_intsta is set. it may generate an interrupt if the corresponding bit in the udphs_ien register is set. this inter- rupt may be used to wake-up th e core, enable pll an d main oscillators and configure clocks. 41.4.12.9 sending an external resume in suspend state it is possible to wake-up the host by sending an external resume. the device waits at least 5 ms after being entered in suspend state before sending an external resume. the device must force a k state from 1 to 15 ms to resume the host.
759 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.4.13 test mode a device must support the test_mode feature when in the default, address or configured high speed device states. test_mode can be: ?test_j ?test_k ? test_packet ? test_seo_nak (see section 41.5.11 ?udphs test register? on page 775 for definitions of each test mode.) const char test_packet_buffer[] = { 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, // jkjkjkjk * 9 0xaa,0xaa,0xaa,0xaa,0xaa,0xaa,0xaa,0xaa, // jjkkjjkk * 8 0xee,0xee,0xee,0xee,0xee,0xee,0xee,0xee, // jjkkjjkk * 8 0xfe,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff, // jjjjjjjkkkkkkk * 8 0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd, // jjjjjjjk * 8 0xfc,0x7e,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0x7e // {jkkkkkkk * 10}, jk };
760 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5 usb high speed device po rt (udphs) user interface notes: 1. the reset value for udphs_eptctl0 is 0x0000_0001. 2. the addresses for the udphs endpoint r egisters shown here are for udphs endpoint 0. the structure of this group of reg- isters is repeated successively for each endpoint according to the consecution of endpoint registers located between 0x120 and 0x1dc . 3. the addresses for the udphs dma registers shown here ar e for udphs dma channel1. (there is no channel0) the structure of this group of registers is repeated successively for each dma channel according to the consecution of dma reg- isters located between 0x320 and 0x370. table 41-5. register mapping offset register name access reset 0x00 udphs control register udphs_ctrl read/write 0x0000_0200 0x04 udphs frame number register udphs_fnum read 0x0000_0000 0x08 - 0x0c reserved ? ? ? 0x10 udphs interrupt enable register udphs_ien read/write 0x0000_0010 0x14 udphs interrupt status register udphs_intsta read 0x0000_0000 0x18 udphs clear interrupt register udphs_clrint write ? 0x1c udphs endpoints reset register udphs_eptrst write ? 0x20 - 0xcc reserved ? ? ? 0xe0 udphs test register udphs_tst read/write 0x0000_0000 0xe4 - 0xe8 reserved ? ? ? 0xec udphs paddrsize register udphs_ippaddrsize read 0x0000_4000 0xf0 udphs name1 register udphs_ipname1 read 0x4855_5342 0xf4 udphs name2 register udphs_ipname2 read 0x3244_4556 0xf8 udphs features register udphs_ipfeatures read 0x100 udphs endpoint0 configuration register udphs_eptcfg0 read/write 0x0000_0000 0x104 udphs endpoint0 control enable register udphs_eptctlenb0 write ? 0x108 udphs endpoint0 control disable register udphs_eptctldis0 write ? 0x10c udphs endpoint0 control register udphs_eptctl0 read 0x0000_0000 (1) 0x110 reserved (for endpoint 0) ? ? ? 0x114 udphs endpoint0 set status register udphs_eptsetsta0 write ? 0x118 udphs endpoint0 clear status register udphs_eptclrsta0 write ? 0x11c udphs endpoint0 status register udphs_eptsta0 read 0x0000_0040 0x120 - 0x1dc udphs endpoint1 to 6 (2) registers 0x300 - 0x30c reserved ? ? ? 0x310 udphs dma next descriptor1 address register udphs_dmanxtdsc1 read/write 0x0000_0000 0x314 udphs dma channel1 address regi ster udphs_dmaaddress1 read/write 0x0000_0000 0x318 udphs dma channel1 control register udphs_dmacontrol1 read/write 0x0000_0000 0x31c udphs dma channel1 status register udphs_dmastatus1 read/write 0x0000_0000 0x320 - 0x370 dma channel2 to 5 (3) registers
761 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.1 udphs control register name: udphs_ctrl access type: read/write ? dev_addr: udphs address read: this field contains the default address (0) after power-up or udphs bus reset. write: this field is written with the value set by a set_address request received by the device firmware. ? faddr_en: function address enable read: 0 = device is not in address state. 1 = device is in address state. write: 0 = only the default function address is used (0). 1 = this bit is set by the device firm ware after a successful status phase of a set_address transaction. when set, the only address accepted by the udphs cont roller is the one stored in the udphs a ddress field. it will not be cleared after- wards by the device firmware. it is cleared by hardware on hardware reset, or when udphs bus reset is received (see above). ? en_udphs: udphs enable read: 0 = udphs is disabled. 1 = udphs is enabled. write: 0 = disable and reset the udphs controller, disable the udphs transceiver. 1 = enables the udphs controller. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????pulld_disrewakeupdetachen_udphs 76543210 faddr_en dev_addr
762 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? detach: detach command read: 0 = udphs is attached. 1 = udphs is detached, utmi transceiver is suspended. write: 0 = pull up the dp line (attach command). 1 = simulate a detach on the udphs line and force the utmi transceiver into suspend state (suspend m = 0). ? rewakeup : send remote wake up read: 0 = remote wake up is disabled. 1 = remote wake up is enabled. write: 0 = no effect. 1 = force an external interrupt on the udphs controller for remote wake up purposes. an upstream resume is sent only after the udphs bus has been in suspend st ate for at least 5 ms. this bit is automatically cleared by hardware at the end of the upstream resume. ? pulld_dis: pull-down disable when set, there is no pull-down on dp & dm. (dm pull-down = dp pull-down = 0). note: if the detach bit is also set, device dp & dm are left in high impedance state.
763 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.2 udphs frame number register name: udphs_fnum access type: read ? micro_frame_num: microframe number number of the received microframe (0 to 7) in one frame.this field is reset at the beginning of each new frame (1 ms). one microframe is received each 125 microseconds (1 ms/8). ? frame_number: frame number as defined in the packet field formats this field is provided in the last received sof packet (see int_sof in the udphs interrupt status register ). ? fnum_err: frame number crc error this bit is set by hardware when a corrupted frame number in start of frame packet (or micro sof) is received. this bit and the int_sof (or micro_sof) interrupt are updated at the same time. 31 30 29 28 27 26 25 24 fnum_err??????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ? ? frame_number 76543210 frame_number micro_frame_num
764 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.3 udphs interrupt enable register name: udphs_ien access type: read/write ? det_suspd: suspend interrupt enable read: 0 = suspend interrupt is disabled. 1 = suspend interrupt is enabled. write 0 = disable suspend interrupt. 1 = enable suspend interrupt. ? micro_sof: micro-sof interrupt enable read: 0 = micro-sof interrupt is disabled. 1 = micro-sof interrupt is enabled. write 0 = disable micro-sof interrupt. 1 = enable micro-sof interrupt. ? int_sof: sof interrupt enable read: 0 = sof interrupt is disabled. 1 = sof interrupt is enabled. write 0 = disable sof interrupt. 1 = enable sof interrupt. 31 30 29 28 27 26 25 24 ? dma_6 dma_5 dma_4 dma_3 dma_2 dma_1 ? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ? ept_6 ept_5 ept_4 ept_3 ept_2 ept_1 ept_0 76543210 upstr_res endofrsm wake_up endreset int_sof micro_sof det_suspd ?
765 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? endreset: end of reset interrupt enable read: 0 = end of reset interrupt is disabled. 1 = end of reset interrupt is enabled. write 0 = disable end of reset interrupt. 1 = enable end of reset interrupt. automatically enabled after usb reset. ? wake_up: wake up cpu interrupt enable read: 0 = wake up cpu interrupt is disabled. 1 = wake up cpu interrupt is enabled. write 0 = disable wake up cpu interrupt. 1 = enable wake up cpu interrupt. ? endofrsm: end of resume interrupt enable read: 0 = resume interrupt is disabled. 1 = resume interrupt is enabled. write 0 = disable resume interrupt. 1 = enable resume interrupt. ? upstr_res: upstream resume interrupt enable read: 0 = upstream resume interrupt is disabled. 1 = upstream resume interrupt is enabled. write 0 = disable upstream resume interrupt. 1 = enable upstream resume interrupt. ? ept_x: endpoint x interrupt enable read: 0 = the interrupts for this endpoint are disabled. 1 = the interrupts for this endpoint are enabled. write 0 = disable the interrupts for this endpoint.
766 6289d?atarm?3-oct-11 at91sam9r64/rl64 1 = enable the interrupts for this endpoint. ? dma_int_x: dma channel x interrupt enable read: 0 = the interrupts for this channel are disabled. 1 = the interrupts for this channel are enabled. write 0 = disable the interrupts for this channel. 1 = enable the interrupts for this channel.
767 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.4 udphs interrupt status register name: udphs_intsta access type: read-only ? speed: speed status 0 = reset by hardware when the hardware is in full speed mode. 1 = set by hardware when the hardware is in high speed mode ? det_suspd: suspend interrupt 0 = cleared by setting the det_suspd bit in udphs_clrint register 1 = set by hardware when a udphs suspend (idle bus for three frame periods, a j state for 3 ms) is detected. this triggers a udphs interrupt when the det_suspd bit is set in udphs_ien register. ? micro_sof: micro start of frame interrupt 0 = cleared by setting the micro_sof bit in udphs_clrint register. 1 = set by hardware when an udphs micro start of frame pi d (sof) has been detected (every 125 us) or synthesized by the macro. this triggers a udphs interrupt when the micro_sof bit is set in udphs_ien. in case of detected sof, the micro_frame_num field in udphs_fnum register is incremented and the frame_number field doesn?t change. note: the micro start of frame interrupt (micro_sof), and the star t of frame interrupt (int_sof) are not generated at the same time. ? int_sof: start of frame interrupt 0 = cleared by setting the int_sof bit in udphs_clrint. 1 = set by hardware when an udphs start of frame pid (s of) has been detected (every 1 ms) or synthesized by the macro. this triggers a udphs interrupt when the int_sof bit is set in udphs_ien register. in case of detected sof, in high speed mode, the micro_frame_number field is cl eared in udphs_fnum register and the frame_number field is updated. ? endreset: end of reset interrupt 0 = cleared by setting the endreset bit in udphs_clrint. 1 = set by hardware when an end of reset has been detected by the udphs controller. this triggers a udphs interrupt when the endreset bit is set in udphs_ien. 31 30 29 28 27 26 25 24 ? dma_6 dma_5 dma_4 dma_3 dma_2 dma_1 ? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ? ept_6 ept_5 ept_4 ept_3 ept_2 ept_1 ept_0 76543210 upstr_res endofrsm wake_up endreset int_sof micro_sof det_suspd speed
768 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? wake_up: wake up cpu interrupt 0 = cleared by setting the wake_up bit in udphs_clrint. 1 = set by hardware when the udphs cont roller is in suspend state and is re-act ivated by a filtered non-idle signal from the udphs line (not by an upstream resume). this tr iggers a udphs interrupt wh en the wake_up bit is set in udphs_ien register. when receiving this interrupt, the user has to enable the device controller clock prior to operation. note: this interrupt is generated even if the device controller clock is disabled. ? endofrsm: end of resume interrupt 0 = cleared by setting the endofrsm bit in udphs_clrint. 1 = set by hardware when the udphs controller detects a good en d of resume signal initiated by the host. this triggers a udphs interrupt when the endofr sm bit is set in udphs_ien. ? upstr_res: upstream resume interrupt 0 = cleared by setting the upstr_res bit in udphs_clrint. 1 = set by hardware when the udphs controller is sending a resume signal called ?upstream resume?. this triggers a udphs interrupt when the upstr_ res bit is set in udphs_ien. ? ept_x: endpoint x interrupt 0 = reset when the udphs_eptstax interrupt source is cleared. 1 = set by hardware when an interrupt is triggered by the ud phs_eptstax register and this endpoint interrupt is enabled by the ept_int_x bit in udphs_ien. ? dma_int_x: dma channel x interrupt 0 = reset when the udphs_dmastatusx interrupt source is cleared. 1 = set by hardware when an interrupt is triggered by the dma channelx and this endpoint interrupt is enabled by the dma_int_x bit in udphs_ien.
769 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.5 udphs clear interrupt register name: udphs_clrint access type: write only ? det_suspd: suspend interrupt clear 0 = no effect. 1 = clear the det_suspd bit in udphs_intsta. ? micro_sof: micro start of frame interrupt clear 0 = no effect. 1 = clear the micro_sof bit in udphs_intsta. ? int_sof: start of frame interrupt clear 0 = no effect. 1 = clear the int_sof bit in udphs_intsta. ? endreset: end of re set interrupt clear 0 = no effect. 1 = clear the endreset bit in udphs_intsta. ? wake_up: wake up cpu interrupt clear 0 = no effect. 1 = clear the wake_up bit in udphs_intsta. ? endofrsm: end of resume interrupt clear 0 = no effect. 1 = clear the endofrsm bit in udphs_intsta. ? upstr_res: upstream resume interrupt clear 0 = no effect. 1 = clear the upstr_res bit in udphs_intsta. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 upstr_res endofrsm wake_up endreset int_sof micro_sof det_suspd ?
770 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.6 udphs endpoints reset register name: udphs_eptrst access type: write only ? ept_x: endpoint x reset 0 = no effect. 1 = reset the endpointx state. setting this bit clears the endpoint status udphs_ eptstax register, except fo r the togglesq_sta field. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ept_6 ept_5 ept_4 ept_3 ept_2 ept_1 ept_0
771 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.7 udphs test sof counter register name: udphs_tstsofcnt access type: read/write ? sofcntmax: sof counter max value ? sofctload: sof counter load 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 sofctload sofcntmax
772 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.8 udphs test a counter register name: udphs_tstcnta access type: read/write ? cntaload: a counter load ? cntamax: a counter max value 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cntaload cntamax 76543210 cntamax
773 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.9 udphs test b counter register name: udphs_tstcntb access type: read/write ? cntbload: b counter load ? cntbmax: b counter max value 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 cntbload cntbmax 76543210 cntbmax
774 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.10 udphs test mode register name: udphs_tstmodereg access type: read/write ? tstmode: udphs core testmodereg 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?? tstmode ?
775 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.11 udphs test register name: udphs_tst access type: read/write ? speed_cfg: speed configuration read/write: speed configuration: ? tst_j: test j mode read and write: 0 = no effect. 1 = set to send the j state on the udphs line. this enables the testing of the high output drive level on the d+ line. ? tst_k: test k mode read and write: 0 = no effect. 1 = set to send the k state on the udphs line. this enables the testing of the high output drive level on the d- line. ? tst_pkt: test packet mode read and write: 0 = no effect. 1 = set to repetitively transmit the packet stored in the current bank. this enables the testing of rise and fall times, eye pa t- terns, jitter, and any other dynamic waveform specifications. ? opmode2: opmode2 read and write: 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? opmode2 tst_pkt tst_k tst_j speed_cfg 00 normal mode: the macro is in full speed mode, ready to make a high speed identification, if the host supports it and then to automatically switch to high speed mode 01 reserved 10 force high speed: set this value to force the hardware to work in high speed mode. only for debug or test purpose. 11 force full speed: set this value to force the hardware to work only in full speed mode. in this configuration, the macro will not respond to a high speed reset handshake
776 6289d?atarm?3-oct-11 at91sam9r64/rl64 0 = no effect. 1 = set to force the opmode signal (utmi interface) to ?10?, to disable the bit-stuffing and the nrzi encoding. note: for the test mode, test_se0_nak (see universal serial bus specification, revision 2.0: 7.1.20, test mode sup- port). force the device in high speed m ode, and configure a bulk-ty pe endpoint. do not fill this endpoint for sending nak to the host. upon command, a port?s transceiver must enter the high speed receive mode and remain in that mode until the exit action is taken. this enables the testing of output impedance, low level output voltage and loading characteristics. in addition, while in this mode, upstream facing ports (and only upstream facing ports) must respond to any in token packet with a nak handshake (only if the packet crc is dete rmined to be correct) within the norm al allowed device response time. this enables testing of the device squelch level circuitry and, additi onally, provides a general purpose stimulus/response test for basic functional testing.
777 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.12 udphs paddrsize register name: udphs_ippaddrsize access type: read-only ? ip_paddrsize 2^udphs_paddr_size apb address bus aperture of the udphs 31 30 29 28 27 26 25 24 ip_paddrsize 23 22 21 20 19 18 17 16 ip_paddrsize 15 14 13 12 11 10 9 8 ip_paddrsize 76543210 ip_paddrsize
778 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.13 udphs name1 register name: udphs_ipname1 access type: read-only ? ip_name1 ascii string ?husb? 41.5.14 udphs name2 register name: udphs_ipname2 access type: read-only ? ip_name2 ascii string ?2dev? 31 30 29 28 27 26 25 24 ip_name1 23 22 21 20 19 18 17 16 ip_name1 15 14 13 12 11 10 9 8 ip_name1 76543210 ip_name1 31 30 29 28 27 26 25 24 ip_name2 23 22 21 20 19 18 17 16 ip_name2 15 14 13 12 11 10 9 8 ip_name2 76543210 ip_name2
779 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.15 udphs features register name: udphs_ipfeatures access type: read-only ? ept_nbr_max: max number of endpoints give the max number of endpoints. 0 = if 16 endpoints are hardware implemented. 1 = if 1 endpoint is hardware implemented. 2 = if 2 endpoints are hardware implemented. ... 15 = if 15 endpoints are hardware implemented. ? dma_channel_nbr: number of dma channels give the number of dma channels. 1 = if 1 dma channel is hardware implemented. 2 = if 2 dma channels are hardware implemented. ... 7 = if 7 dma channels are hardware implemented. ? dma_b_siz: dma buffer size 0 = if the dma buffer size is 16 bits. 1 = if the dma buffer size is 24 bits. ? dma_fifo_word_depth: dma fifo depth in words 0 = if fifo is 16 words deep. 1 = if fifo is 1 word deep. 2 = if fifo is 2 words deep. ... 15 = if fifo is 15 words deep. 31 30 29 28 27 26 25 24 iso_ept_15 iso_ept_14 iso_ept_13 iso_ept_ 12 iso_ept_11 iso_ept_1 0 iso_ept_9 iso_ept_8 23 22 21 20 19 18 17 16 iso_ept_7 iso_ept_6 iso_ept_5 iso_ept_4 is o_ept_3 iso_ept_2 iso_ept_1 datab16_8 15 14 13 12 11 10 9 8 bw_dpram fifo_max_size dma_fifo_word_depth 76543210 dma_b_siz dma_channel_nbr ept_nbr_max
780 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? fifo_max_size: dpram size 0 = if dpram is 128 bytes deep. 1 = if dpram is 256 bytes deep. 2 = if dpram is 512 bytes deep. 3 = if dpram is 1024 bytes deep. 4 = if dpram is 2048 bytes deep. 5 = if dpram is 4096 bytes deep. 6 = if dpram is 8192 bytes deep. 7 = if dpram is 16384 bytes deep. ? bw_dpram: dpram by te write capability 0 = if dpram write data shadow logic is implemented. 1 = if dpram is byte write capable. ? datab16_8: utmi databus16_8 0 = if the utmi uses an 8-bit parallel data interface (60 mhz, unidirectional). 1 = if the utmi uses a 16-bit parallel data interface (30 mhz, bidirectional). ? iso_ept_x: endpointx high bandwidth isochronous capability 0 = if the endpoint does not have isochronous high bandwidth capability. 1 = if the endpoint has isochronous high bandwidth capability.
781 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.16 udphs endpoint configuration register name: udphs_eptcfgx [x=0..6] access type: read/write ? ept_size: endpoint size read and write: set this field according to the endpoint size in bytes (see section 41.4.5 ?endpoint configuration? ). endpoint size note: 1. 1024 bytes is only for isochronous endpoint. ? ept_dir: endpoint direction read and write: 0 = clear this bit to configure out direction for bulk, interrupt and isochronous endpoints. 1 = set this bit to configure in direction for bulk, interrupt and isochronous endpoints. for control endpoints this bit has no effect and should be left at zero. ? ept_type: endpoint type read and write: set this field according to the endpoint type (see section 41.4.5 ?endpoint configuration? ). (endpoint 0 should always be configured as control) 31 30 29 28 27 26 25 24 ept_mapd??????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????? nb_trans 76543210 bk_number ept_type ept_dir ept_size 000 8 bytes 001 16 bytes 010 32 bytes 011 64 bytes 100 128 bytes 101 256 bytes 110 512 bytes 111 1024 bytes (1)
782 6289d?atarm?3-oct-11 at91sam9r64/rl64 :endpoint type ? bk_number: number of banks read and write: set this field according to the endpoint?s number of banks (see section 41.4.5 ?endpoint configuration? ). number of banks ? nb_trans: number of tr ansaction per microframe read and write: the number of transactions per microframe is set by software. note: meaningful for high bandwidth isochronous endpoint only. ? ept_mapd: endpoint mapped read-only: 0 = the user should reprogram the register with correct values. 1 = set by hardware when the endpoint size (ept_size) an d the number of banks (bk_number) are correct regarding: ? the fifo max capacity (fifo_max_ size in udphs_ipfeatures register) ? the number of endpoints/banks already allocated ? the number of allowed banks for this endpoint 00 control endpoint 01 isochronous endpoint 10 bulk endpoint 11 interrupt endpoint 00 zero bank, the endpoint is not mapped in memory 01 one bank (bank 0) 10 double bank (ping-pong: bank 0/bank 1) 11 triple bank (bank 0/bank 1/bank 2)
783 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.17 udphs endpoint control enable register name: udphs_eptctlenbx [x=0..6] access type: write-only for additional information, see ?udphs endpoint contro l register? on page 787 . ? ept_enabl: endpoint enable 0 = no effect. 1 = enable endpoint according to the device configuration. ? auto_valid: packet auto-valid enable 0 = no effect. 1 = enable this bit to automatically validate the current packet and switch to the next bank for both in and out transfers. ? intdis_dma: interrupts disable dma 0 = no effect. 1 = if set, when an enabled endpoint-originated interrupt is triggered, the dma request is disabled. ? nyet_dis: nyet disable (only fo r high speed bulk out endpoints) 0 = no effect. 1 = forces an ack response to the next high spee d bulk out transfer instead of a nyet response. ? datax_rx: datax interrupt enable (only for high bandwidth isochronous out endpoints) 0 = no effect. 1 = enable datax interrupt. ? mdata_rx: mdata interrupt enable (only for high bandwidth isochronous out endpoints) 0 = no effect. 1 = enable mdata interrupt. ? err_ovflw: overflow error interrupt enable 0 = no effect. 1 = enable overflow error interrupt. 31 30 29 28 27 26 25 24 shrt_pckt??????? 23 22 21 20 19 18 17 16 ?????busy_bank?? 15 14 13 12 11 10 9 8 nak_out nak_in/ err_flush stall_snt/ err_criso/ err_nbtra rx_setup/ err_fl_iso tx_pk_rdy/ err_trans tx_complt rx_bk_rdy err_ovflw 76543210 mdata_rx datax_rx ? nyet_dis intdis_dma ? auto_valid ept_enabl
784 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? rx_bk_rdy: received out data interrupt enable 0 = no effect. 1 = enable received out data interrupt. ? tx_complt: transmitted in data complete interrupt enable 0 = no effect. 1 = enable transmitted in data complete interrupt. ? tx_pk_rdy/err_trans: tx packet read y/transaction error interrupt enable 0 = no effect. 1 = enable tx packet ready/transaction error interrupt. ? rx_setup/err_fl_iso: received set up/error flow interrupt enable 0 = no effect. 1 = enable rx_setup/error flow iso interrupt. ? stall_snt/err_criso/err_nbtra: stal l sent /iso crc error/number of transaction error interrupt enable 0 = no effect. 1 = enable stall sent/error crc iso/erro r number of tran saction interrupt. ? nak_in/err_flush: nakin/bank flush error interrupt enable 0 = no effect. 1 = enable nakin/bank flush error interrupt. ? nak_out: nakout interrupt enable 0 = no effect. 1 = enable nakout interrupt. ? busy_bank: busy bank interrupt enable 0 = no effect. 1 = enable busy bank interrupt. ? shrt_pckt: short packet send/short packet interrupt enable for out endpoints: 0 = no effect. 1 = enable short packet interrupt. for in endpoints: guarantees short packet at end of dma transfer if the udphs_dmacontrolx register end_b_en and udphs_eptctlx register autovalid bits are also set.
785 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.18 udphs endpoint control disable register name: udphs_eptctldisx [x=0..6] access type: write-only for additional information, see ?udphs endpoint contro l register? on page 787 . ? ept_disabl: endpoint disable 0 = no effect. 1 = disable endpoint. ? auto_valid: packet auto-valid disable 0 = no effect. 1 = disable this bit to not automatically validate the current packet. ? intdis_dma: interrupts disable dma 0 = no effect. 1 = disable the ?interrupts disable dma?. ? nyet_dis: nyet enable (only for high speed bulk out endpoints) 0 = no effect. 1 = let the hardware handle the handshake response for the high speed bulk out transfer. ? datax_rx: datax interrupt disable (only fo r high bandwidth isochronous out endpoints) 0 = no effect. 1 = disable datax interrupt. ? mdata_rx: mdata interrupt disable (only for high bandwidth isochronous out endpoints) 0 = no effect. 1 = disable mdata interrupt. ? err_ovflw: overflow error interrupt disable 0 = no effect. 1 = disable overflow error interrupt. 31 30 29 28 27 26 25 24 shrt_pckt??????? 23 22 21 20 19 18 17 16 ?????busy_bank?? 15 14 13 12 11 10 9 8 nak_out nak_in/ err_flush stall_snt/ err_criso/ err_nbtra rx_setup/ err_fl_iso tx_pk_rdy/ err_trans tx_complt rx_bk_rdy err_ovflw 76543210 mdata_rx datax_rx ? nyet_dis intdis_dma ? auto_valid ept_disabl
786 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? rx_bk_rdy: received out data interrupt disable 0 = no effect. 1 = disable received out data interrupt. ? tx_complt: transmitted in data complete interrupt disable 0 = no effect. 1 = disable transmitted in data complete interrupt. ? tx_pk_rdy/err_trans: tx packet read y/transaction error interrupt disable 0 = no effect. 1 = disable tx packet ready/transaction error interrupt. ? rx_setup/err_fl_iso: received setup /error flow interrupt disable 0 = no effect. 1 = disable rx_setup/error flow iso interrupt. ? stall_snt/err_criso/err_nbtra: stall sent/iso crc er ror/number of transacti on error interrupt disable 0 = no effect. 1 = disable stall sent/error crc iso/error number of transaction interrupt. ? nak_in/err_flush: nakin/bank flush error interrupt disable 0 = no effect. 1 = disable nakin/ bank flush error interrupt. ? nak_out: nakout interrupt disable 0 = no effect. 1 = disable nakout interrupt. ? busy_bank: busy bank interrupt disable 0 = no effect. 1 = disable busy bank interrupt. ? shrt_pckt: short packet interrupt disable for out endpoints: 0 = no effect. 1 = disable short packet interrupt. for in endpoints: never automatically add a zero length packet at end of dma transfer.
787 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.19 udphs endpoint control register name: udphs_ept ctlx [x=0..6] access type: read-only ? ept_enabl: endpoint enable 0 = if cleared, the endpoint is disabled according to the devi ce configuration. endpoint 0 should always be enabled after a hardware or udphs bus reset and participate in the device configuration. 1 = if set, the endpoint is enabled according to the device configuration. ? auto_valid: packet auto-valid enabled (not for control endpoints) set this bit to automatically validate the current packet and switch to the next bank for both in and out endpoints. for in transfer: if this bit is set, then the udphs_eptst ax register tx_pk_rdy bit is set automat ically when the current bank is full and at the end of dma buffer if the udphs_dmacontrolx register end_b_en bit is set. the user may still set the udphs_eptstax register tx_pk_ rdy bit if the current bank is not full, unless the user wants to send a zero length packet by software. for out transfer: if this bit is set, then the udphs_eptstax register rx_ bk_rdy bit is automatically reset for the current bank when the last packet byte has been read from the bank fifo or at the end of dma buffer if the udphs_dmacontrolx register end_b_en bit is set. for example, to truncate a padded data packet when the actual data transfer size is reached. the user may still clear the udphs_eptstax register rx _bk_rdy bit, for example, after completing a dma buffer by software if udphs_dmacontrolx register end_b_en bi t was disabled or in order to cancel the read of the remaining data bank(s). ? intdis_dma: interrupt disables dma if set, when an enabled endpoint-originated interrupt is triggered, the dma request is disabled regardless of the udphs_ien register ept_int_x bit for th is endpoint. then, the firmware will have to clear or disable the interrupt source or clear this bit if transfer completion is needed. if the exception raised is associated with the new system bank packet, then the previous dma packet transfer is normally completed, but the new dma packet transfer is not started (not requested). 31 30 29 28 27 26 25 24 shrt_pckt??????? 23 22 21 20 19 18 17 16 ?????busy_bank?? 15 14 13 12 11 10 9 8 nak_out nak_in/ err_flush stall_snt/ err_criso/ err_nbtra rx_setup/ err_fl_iso tx_pk_rdy/ err_trans tx_complt rx_bk_rdy err_ovflw 76543210 mdata_rx datax_rx ? nyet_dis intdis_dma ? auto_valid ept_enabl
788 6289d?atarm?3-oct-11 at91sam9r64/rl64 if the exception raised is not associated to a new system bank packet (nak_in, nak_out, err_fl_iso...), then the request cancellation may happen at any time and may immediately stop the current dma transfer. this may be used, for example, to identify or prevent an erroneous packet to be transferred into a buffer or to complete a dma buffer by software after reception of a short packet, or to perform buffer truncation on err_fl_iso interrupt for adaptive rate. ? nyet_dis: nyet disable (only for high speed bulk out endpoints) 0 = if clear, this bit lets the hardware handle the handshake response for the high speed bulk out transfer. 1 = if set, this bit forces an ack response to the next high speed bulk out transfer instead of a nyet response. note: according to the universal serial bus specification, rev 2.0 (8.5.1.1 nak responses to out/ data during ping protocol), a nak response to an hs bulk out transfer is expected to be an unusual occurrence. ? datax_rx: datax interrupt enabled (only for high bandwidth isochronous out endpoints) 0 = no effect. 1 = send an interrupt when a data2, da ta1 or data0 packet has been received meaning the whole microframe data payload has been received. ? mdata_rx: mdata interrupt enabled (only for high bandwidth isochronous out endpoints) 0 = no effect. 1 = send an interrupt when an mdata packet has been received and so at least one packet of the microframe data pay- load has been received. ? err_ovflw: overflow error interrupt enabled 0 = overflow error interrupt is masked. 1 = overflow error interrupt is enabled. ? rx_bk_rdy: received out data interrupt enabled 0 = received out data interrupt is masked. 1 = received out data interrupt is enabled. ? tx_complt: transmitted in data complete interrupt enabled 0 = transmitted in data co mplete interrupt is masked. 1 = transmitted in data comp lete interrupt is enabled. ? tx_pk_rdy/err_trans: tx packet read y/transaction error interrupt enabled 0 = tx packet ready/transaction error interrupt is masked. 1 = tx packet ready/transaction error interrupt is enabled. caution: interrupt source is active as long as the corres ponding udphs_eptstax regist er tx_pk_rdy flag remains low. if there are no more banks available for transmitting after the software has set udphs_eptstax/tx_pk_rdy for the last transmit packet, then the interrupt source remains inactive until the first bank becomes free again to transmit at udphs_eptstax/tx_pk_ rdy hardware clear. ? rx_setup/err_fl_iso: received setup /error flow interrupt enabled 0 = received setup/error flow interrupt is masked. 1 = received setup/error flow interrupt is enabled.
789 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? stall_snt/err_criso/err_nbtra: stall sent/iso crc er ror/number of transaction error interrupt enabled 0 = stall sent/iso crc error/number of transaction error interrupt is masked. 1 = stall sent /iso crc error/number of transaction error interrupt is enabled. ? nak_in/err_flush: nakin/bank flush error interrupt enabled 0 = nakin interrupt is masked. 1 = nakin/bank flush error interrupt is enabled. ? nak_out: nakout interrupt enabled 0 = nakout interrupt is masked. 1 = nakout interrupt is enabled. ? busy_bank: busy bank interrupt enabled 0 = busy_bank interrupt is masked. 1 = busy_bank interrupt is enabled. for out endpoints : an interrupt is sent when all banks are busy. for in endpoints: an interrupt is sent when all banks are free. ? shrt_pckt: short packet interrupt enabled for out endpoints : send an interrupt when a short packet has been received. 0 = short packet interrupt is masked. 1 = short packet interrupt is enabled. for in endpoints : a short packet transmission is guaranteed upon end of the dma transfer, thus signaling a bulk or interrupt end of transfer or an en d of isochronous (micro-)frame data , but only if the udphs_dmacontrolx register end_b_en and udphs_eptctlx re gister auto_valid bits are also set.
790 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.20 udphs endpoint set status register name: udphs_eptsetstax [x=0..6] access type: write-only ? frcestall: stall handshake request set 0 = no effect. 1 = set this bit to request a stall answer to the host for the next handshake refer to chapters 8.4.5 (handshake packets) and 9.4.5 (get status) of the universal serial bus sp ecification, rev 2.0 for more information on the stall handshake. ? kill_bank: kill bank set (for in endpoint) 0 = no effect. 1 = kill the last written bank. ? tx_pk_rdy: tx packet ready set 0 = no effect. 1 = set this bit after a packet has been written into the endpoint fifo for in data transfers ? this flag is used to generate a data in transaction (device to host). ? device firmware checks that it can write a data payload in the fifo, checking that tx_pk_rdy is cleared. ? transfer to the fifo is done by writing in the ?buffer address? register. ? once the data payload has been transferred to the fifo, the firmware notifies the udphs device setting tx_pk_rdy to one. ? udphs bus transactions can start. ? txcomp is set once the data payload has been received by the host. ? data should be written into the endpoint fifo only after this bit has been cleared. ? set this bit without writing data to the endpoint fifo to send a zero length packet. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ????tx_pk_rdy?ki ll_bank ? 76543210 ??frcestall?????
791 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.21 udphs endpoint clear status register name: udphs_eptclrstax [x=0..6] access type: write-only ? frcestall: stall handshake request clear 0 = no effect. 1 = clear the stall request. the next packets from host will not be stalled. ? togglesq: data toggle clear 0 = no effect. 1 = clear the pid data of the current bank for out endpoints, the next received packet should be a data0. for in endpoints, the next pa cket will be sent with a data0 pid. ? rx_bk_rdy: receive d out data clear 0 = no effect. 1 = clear the rx_bk_rdy flag of udphs_eptstax. ? tx_complt: transmitted in data complete clear 0 = no effect. 1 = clear the tx_complt flag of udphs_eptstax. ? rx_setup/err_fl_iso: received setup/error flow clear 0 = no effect. 1 = clear the rx_setup/err_fl_iso flags of udphs_eptstax. ? stall_snt/err_nbtra: stall sent/number of transaction error clear 0 = no effect. 1 = clear the stall_snt/err_nbtra flags of udphs_eptstax. ? nak_in/err_flush: nakin/bank flush error clear 0 = no effect. 1 = clear the nak_in/err_flus h flags of udphs_eptstax. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 nak_out nak_in/ err_flush stall_snt/ err_nbtra rx_setup/ err_fl_iso ? tx_complt rx_bk_rdy ? 76543210 ?togglesqfrcestall?????
792 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? nak_out: nakout clear 0 = no effect. 1 = clear the nak_out flag of udphs_eptstax.
793 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.22 udphs endpoint status register name: udphs_eptstax [x=0..6] access type: read-only ? frcestall: stall handshake request 0 = no effect. 1= if set a stall answer will be done to the host for the next handshake. this bit is reset by hardware upon received setup. ? togglesq_sta: toggle sequencing toggle sequencing: in endpoint : it indicates the pid data toggle t hat will be used for the next packet sen t. this is not relative to the cur- rent bank. control and out endpoint : these bits are set by hardware to indicate the pid data of the current bank: note 1: in out transfer, the toggle information is meaningful only when the current bank is busy (received out data = 1). note 2: these bits are updated for out transfer: ? a new data has been written into the current bank. ? the user has just cleared the received out data bit to switch to the next bank. note 3: for high bandwidth isochronous out endpoint, it is recommended to check the udphs_eptstax/err_trans bit to know if the toggle sequencing is correct or not. note 4: this field is reset to data1 by the udphs_eptclrs tax register togglesq bit, and by udphs_eptctldisx (disable endpoint). 31 30 29 28 27 26 25 24 shrt_pckt byte_count 23 22 21 20 19 18 17 16 byte_count busy_bank_sta current_bank/ control_dir 15 14 13 12 11 10 9 8 nak_out nak_in/ err_flush stall_snt/ err_criso/ err_nbtra rx_setup/ err_fl_iso tx_pk_rdy/ err_trans tx_complt rx_bk_rdy/ kill_bank err_ovflw 76543210 togglesq_stafrcestall????? 00 data0 01 data1 10 data2 (only for high bandwidth isochronous endpoint) 11 mdata (only for high bandwidth isochronous endpoint)
794 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? err_ovflw: overflow error this bit is set by hardware when a new too-long packet is received. example: if the user programs an endpoint 64 bytes wide and th e host sends 128 bytes in an out transfer, then the over- flow error bit is set. this bit is updated at the same time as the byte_count field. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? rx_bk_rdy/kill_bank: rece ived out data/kill bank ? received out data : (for out endpoint or control endpoint) this bit is set by hardware after a new packet has been stored in the endpoint fifo. this bit is cleared by the device firmware af ter reading the out data from the endpoint. for multi-bank endpoints, this bit may remain active even when cleared by the device firmware, this if an other packet has been received meanwhile. hardware assertion of this bit may generate an interrupt if enabled by the udphs_eptctlx register rx_bk_rdy bit. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? kill bank : (for in endpoint) ? the bank is really cleared or the bank is sent, busy_bank_sta is decremented. ? the bank is not cleared but sent on the in transfer, tx_complt ? the bank is not cleared because it was empty. the user sh ould wait that this bit is cleared before trying to clear another packet. note: ?kill a packet? may be refused if at the same time, an in token is coming and the curre nt packet is sent on the udphs line. in this case, the tx_complt bit is set. take notice however, that if at least two banks are ready to be sent, there is no problem to kill a packet even if an in token is coming. in fa ct, in that case, the current bank is sent (in transfe r) and the last bank is killed. ? tx_complt: transmitted in data complete this bit is set by hardware after an in packet has been transmitted for isochronous endpoints and after it has been accepted (ack?ed) by the host for control, bulk and interrupt endpoints. this bit is reset by udphs_eptrst register ept_x (reset endpoint), and by udphs_eptctldisx (disable endpoint). ? tx_pk_rdy/err_trans: tx packet ready/transaction error ? tx packet ready : this bit is cleared by hardware, as soon as the packet has been sent for isochronous endpoints, or after the host has acknowledged the packet for control, bulk and interrupt endpoints. for multi-bank endpoints, this bit may remain clear even afte r software is set if another bank is available to transmit. hardware clear of this bit may generate an interrupt if enabled by the udphs_eptctlx register tx_pk_rdy bit. this bit is reset by udphs_eptrst register ept_x (reset endpoint), and by udphs_eptctldisx (disable endpoint). ? transaction error : (for high bandwidth isochronous out endpoints) (read-only) this bit is set by hardware when a transa ction error occurs inside one microframe.
795 6289d?atarm?3-oct-11 at91sam9r64/rl64 if one toggle sequencing problem occurs amo ng the n-transactions (n = 1, 2 or 3) in side a microframe, then this bit is still set as long as the current bank contains one ?bad? n-transaction. (see ?current_bank/control_dir: current bank/control direction? on page 796 ) as soon as the current bank is relative to a new ?good? n-transactions, then this bit is reset. note1 : a transaction error occurs when the toggle sequencing does not respect the universal serial bus specification, rev 2.0 (5.9.2 high bandwidth isochronous endpoints) (bad pid, missing data....) note2 : when a transaction error occurs, the user may empty all the ?bad? transactions by clearing the received out data flag (rx_bk_rdy). if this bit is reset, then the user should consider that a new n-transaction is coming. this bit is reset by udphs_eptrst register ept_x (reset endpoint), and by udphs_eptctldisx (disable endpoint). ? rx_setup/err_fl_iso: received setup/error flow ? received setup : (for control endpoint only) this bit is set by hardware when a valid setup packet has been received from the host. it is cleared by the device firmware after reading the setup data from the endpoint fifo. this bit is reset by udphs_eptrst register ept_x (reset endpoint), and by udphs_eptctldisx (disable endpoint). ? error flow : (for isochronous endpoint only) this bit is set by hardware w hen a transaction error occurs. ? isochronous in transaction is missed, the micr o has no time to fill t he endpoint (underflow). ? isochronous out data is dropped because the bank is busy (overflow). this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? stall_snt/err_criso/err_nbtra: stall sent/c rc iso error/number of transaction error ? stall_snt : (for control, bulk and interrupt endpoints) this bit is set by hardware after a stall handshake ha s been sent as requested by the udphs_eptstax register frcestall bit. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? err_criso : (for isochronous out endpoints) (read-only) this bit is set by hardware if the last received data is corrupted (crc error on data). this bit is updated by hardware when new data is received (received out data bit). ? err_nbtra : (for high bandwidth isochronous in endpoints) this bit is set at the end of a microframe in which at l east one data bank has been transmitted, if less than the number of transactions per micro-frame banks (udphs_eptcfgx regist er nb_trans) have been validated for transmission inside this microframe. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? nak_in/err_flush: nak in/bank flush error ? nak_in : this bit is set by hardware when a nak handshake has bee n sent in response to an in request from the host. this bit is cleared by software.
796 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? err_flush : (for high bandwidth isochronous in endpoints) this bit is set when flushing unsent banks at the end of a microframe. this bit is reset by udphs_eptrst register ept_x (r eset endpoint) and by ept_ctl_disx (disable endpoint). ? nak_out: nak out this bit is set by hardware when a nak handshake has been sent in response to an out or ping request from the host. this bit is reset by udphs_eptrst register ept_x (r eset endpoint) and by ept_ctl_disx (disable endpoint). ? current_bank/control_dir: cu rrent bank/control direction ? current bank : (all endpoints except control endpoint) these bits are set by hardware to indicate the number of the current bank. note: the current bank is updated each time the user: ? sets the tx packet ready bit to prepare the next in transfer and to switch to the next bank. ? clears the received out data bit to access the next bank. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint). ? control direction : (for control endpoint only) 0 = a control write is requested by the host. 1 = a control read is requested by the host. note1: this bit corresponds with the 7th bit of the bmrequesttype (byte 0 of the setup data). note2: this bit is updated after receiving new setup data. ? busy_bank_sta: busy bank number these bits are set by hardware to indicate the number of busy banks. in endpoint : it indicates the number of busy banks filled by the us er, ready for in transfer. out endpoint : it indicates the number of busy banks filled by out transaction from the host. ? byte_count: udphs byte count byte count of a received data packet. this field is incremented after each write into the endpoint (to prepare an in transfer). this field is decremented after each reading into the endpoint (out transfer). 00 bank 0 (or single bank) 01 bank 1 10 bank 2 11 invalid 00 all banks are free 01 1 busy bank 10 2 busy banks 11 3 busy banks
797 6289d?atarm?3-oct-11 at91sam9r64/rl64 this field is also updated at rx_bk_rdy flag clear with the next bank. this field is also updated at tx_pk_rdy flag set with the next bank. this field is reset by ept_x of udphs_eptrst register. ? shrt_pckt: short packet an out short packet is detected when the receive byte count is less than the configured udphs_eptcfgx register ept_size. this bit is updated at the same time as the byte_count field. this bit is reset by udphs_eptrst register ept_x (reset endpoint) and by udphs_eptctldisx (disable endpoint).
798 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.23 udphs dma channel transfer descriptor the dma channel transfer descriptor is loaded from the memory. be careful with the alignment of this buffer. the structure of the dma channel transfer descriptor is defined by three parameters as described below: offset 0: the address must be aligned: 0xxxxx0 next descriptor address re gister: udphs_dmanxtdscx offset 4: the address must be aligned: 0xxxxx4 dma channelx address register: udphs_dmaaddressx offset 8: the address must be aligned: 0xxxxx8 dma channelx control register: udphs_dmacontrolx to use the dma channel transfer descriptor, fill the structures with the correct value (as described in the following pages). then write directly in udphs_dmanxtdscx the address of the descriptor to be used first. then write 1 in the ldnxt_dsc bit of udph s_dmacontrolx (load next channel transfer descriptor). the descriptor is automatically loaded upon endpointx request for packet transfer.
799 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.24 udphs dma next de scriptor address register name: udphs_dmanxtdscx [x = 1..5] access type: read/write ? nxt_dsc_add this field points to the next channel descri ptor to be processed. this channel descri ptor must be aligned, so bits 0 to 3 of the address must be equal to zero. 31 30 29 28 27 26 25 24 nxt_dsc_add 23 22 21 20 19 18 17 16 nxt_dsc_add 15 14 13 12 11 10 9 8 nxt_dsc_add 76543210 nxt_dsc_add
800 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.25 udphs dma chan nel address register name: udphs_dmaaddressx [x = 1..5] access type: read/write ? buff_add this field determines the ahb bus starting address of a dma channel transfer. channel start and end addresses may be aligned on any byte boundary. the firmware may write this field only when the udphs_dmastatus register chann_enb bit is clear. this field is updated at the end of the address phase of the current access to the ahb bus. it is incrementing of the access byte width. the access width is 4 bytes (or less) at packet start or end, if the start or end address is not aligned on a word boundary. the packet start address is either the channel start addres s or the next channel address to be accessed in the channel buffer. the packet end address is either the channel end address or the latest channel address accessed in the channel buffer. the channel start address is written by software or loaded fr om the descriptor, whereas the channel end address is either determined by the end of buffer or the udphs device, usb end of transfer if th e udphs_dmacontrolx register end_tr_en bit is set. 31 30 29 28 27 26 25 24 buff_add 23 22 21 20 19 18 17 16 buff_add 15 14 13 12 11 10 9 8 buff_add 76543210 buff_add
801 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.26 udphs dma channel control register name: udphs_dmacontrolx [x = 1..5] access type: read/write ? chann_enb (channel enable command) 0 = dma channel is disabled at and no transfer will occur upon request. this bit is also cl eared by hardware when the chan- nel source bus is disabled at end of buffer. if the udphs_dmacontrol register ldnx t_dsc bit has been cleared by descript or loading, the fi rmware will have to set the corresponding chann_enb bit to start the described transfer, if needed. if the udphs_dmacontrol register ldnxt_dsc bit is cl eared, the channel is frozen and the channel registers may then be read and/or written reliably as soon as both udphs_dmastatus register chann_enb and chann_act flags read as 0. if a channel request is currently serviced when this bit is clear ed, the dma fifo buffer is drained until it is empty, then the udphs_dmastatus register chann_enb bit is cleared. if the ldnxt_dsc bit is set at or after this bit clearing, then the currently loaded descriptor is skipped (no data transfer occurs) and the next descriptor is immediately loaded. 1 = udphs_dmastatus register chann_enb bit will be set, thus enabling dma channel da ta transfer. then any pend- ing request will start the transfer. this may be used to start or resume any requested transfer. ? ldnxt_dsc: load next channel transfer descriptor enable (command) 0 = no channel register is loaded after the end of the channel transfer. 1 = the channel controller loads the next descriptor after the end of the current transfer, i.e. when the udphs_dmastatus/chann_enb bit is reset. if the udphs_dma control/chann_enb bit is cleared, the next descriptor is immediately loaded upon transfer request. 31 30 29 28 27 26 25 24 buff_length 23 22 21 20 19 18 17 16 buff_length 15 14 13 12 11 10 9 8 ???????? 76543210 burst_lck desc_ld_it end_buffit end_tr_it end_b_en end_tr_en ldnxt_dsc chann_enb ldnxt_dsc chann_enb description 0 0 stop now 0 1 run and stop at end of buffer 1 0 load next descriptor now 1 1 run and link at end of buffer
802 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? end_tr_en: end of transfer enable (control) used for out transfers only. 0 = usb end of transfer is ignored. 1 = udphs device can put an end to the current buffer transfer. when set, a bulk or interrupt short packet or the last packet of an isoc hronous (micro) frame (datax) will close the current buffe r and the udphs_dmastatusx register end_tr_st flag will be raised. this is intended for udphs non-prenegotiated end of transfer (bulk or interrupt) or isochronous microframe data buffer closure. ? end_b_en: end of buffer enable (control) 0 = dma buffer end has no impact on usb packet transfer. 1 = endpoint can validate the packet (according to the valu es programmed in the udphs_eptctlx register auto_valid and shrt_pckt fields) at dma buffer end, i.e. when the udphs_dmastatus register buff_count reaches 0. this is mainly for short packet in validation initiated by the dma reaching end of buffer, but could be used for out packet truncation (discarding of unwanted packet data) at the end of dma buffer. ? end_tr_it: end of transfer interrupt enable 0 = udphs device initiated buffer transfer completion will not trigger any interrupt at udphs_statusx/end_tr_st rising. 1 = an interrupt is sent after the buffer transfer is complete, if the udphs device has ended the buffer transfer. use when the receive size is unknown. ? end_buffit: end of buffer interrupt enable 0 = udphs_dma_statusx/ end_bf_st rising will not trigger any interrupt. 1 = an interrupt is generated when the udphs_dmastatusx register buff_count reaches zero. ? desc_ld_it: descriptor loaded interrupt enable 0 = udphs_dmastatusx/desc_ldst risi ng will not trigge r any interrupt. 1 = an interrupt is generated when a descriptor has been loaded from the bus. ? burst_lck: burst lock enable 0 = the dma never locks bus access. 1 = usb packets ahb data bursts are locked for maximum opt imization of the bus bandwidth usage and maximization of fly-by ahb burst duration. ? buff_length: buffer byte length (write-only) this field determines the number of bytes to be transferred until end of buffer. the maximum channel transfer size (64 kbytes) is reached when this field is 0 (default value). if the tr ansfer size is unknown, this fi eld should be set to 0, but th e transfer end may occur earlier under udphs device control. when this field is written, the udphs_dmastatusx regi ster buff_count field is updated with the write value. note: bits [31:2] are only writable when issuin g a channel control command other than ?stop now?. note: for reliability it is highly recommended to wait for bo th udphs_dmastatusx register chan_act and chan_enb flags are at 0, thus ensuring the channel has been stopp ed before issuing a command other than ?stop now?.
803 6289d?atarm?3-oct-11 at91sam9r64/rl64 41.5.27 udphs dma chan nel status register name: udphs_dmastatusx [x = 1..5] access type: read/write ? chann_enb: channel enable status 0 = if cleared, the dma channel no longer transfers data, and may load the next descriptor if the udphs_dmacontrolx register ldnxt_dsc bit is set. when any transfer is ended either due to an elapsed byte count or a udphs device initiated transfer end, this bit is auto- matically reset. 1 = if set, the dma channel is currently enabled and transfers data upon request. this bit is normally set or cleared by writing into the udphs_dmacontrolx regist er chann_enb bit field either by soft- ware or descriptor loading. if a channel request is currently serviced when the udphs_ dmacontrolx register chan n_enb bit is cleared, the dma fifo buffer is drained until it is empty, then this status bit is cleared. ? chann_act: channel active status 0 = the dma channel is no longer trying to source the packet data. when a packet transfer is ended this bit is automatically reset. 1 = the dma channel is currently trying to source packet da ta, i.e. selected as the highest-priority requesting channel. when a packet transfer cannot be completed due to an end_bf_st, this flag stays set during the next channel descriptor load (if any) and potentially until udphs packet transfer completion, if allowed by the new descriptor. ? end_tr_st: end of channel transfer status 0 = cleared automatically when read by software. 1 = set by hardware when the last packet transfer is complete, if the udphs device has ended the transfer. valid until the chann_enb flag is cleared at the end of the next buffer transfer. ? end_bf_st: end of channel buffer status 0 = cleared automatically when read by software. 1 = set by hardware when the buff_count downcount reach zero. valid until the chann_enb flag is cleared at the end of the next buffer transfer. 31 30 29 28 27 26 25 24 buff_count 23 22 21 20 19 18 17 16 buff_count 15 14 13 12 11 10 9 8 ???????? 76543210 ? desc_ldst end_bf_st end_tr_st ? ? chann_act chann_enb
804 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? desc_ldst: descriptor loaded status 0 = cleared automatically when read by software. 1 = set by hardware when a descriptor has been loaded from the system bus. valid until the chann_enb flag is cleared at the end of the next buffer transfer. ? buff_count: buffer byte count this field determines the current number of bytes still to be transfer red for this buffer. this field is decremented from the ahb source bus access byte width at the end of this bus address phase. the access byte width is 4 by default, or less, at dma start or end, if the start or end address is not aligned on a word boundary. at the end of buffer, the dma accesses the udphs device only for the number of bytes needed to complete it. this field value is reliable (stable) only if the c hannel has been stopped or frozen (udphs_eptctlx register nt_dis_dma bit is used to disable the channel request) a nd the channel is no longer active chann_act flag is 0. note: for out endpoints, if the receive buffer byte length (b uff_length) has been defaulted to zero because the usb transfer length is unknown, the actual buffer by te length received will be 0x10000-buff_count.
805 6289d?atarm?3-oct-11 at91sam9r64/rl64 42. pulse width modulation (pwm) controller 42.1 description the pwm macrocell controls several cha nnels independently. each channel controls one square output waveform. characteristics of the output waveform such as period, duty-cycle and polarity are configurable through the user interface. each channel selects and uses one of the clocks provided by the clock generator. the cloc k generator provides several clocks resulting from the division of the pwm macrocell master clock. all pwm macrocell accesses are made through apb mapped registers. channels can be synchronized, to generate non overlapped waveforms. all channels integrate a double buffering system in order to prevent an unexpected output waveform while modifying the period or the duty-cycle. 42.2 block diagram figure 42-1. pulse width modulation controller block diagram pwm controller apb pwmx pwmx pwmx channel update duty cycle counter pwm0 channel pio aic pmc mck clock generator apb interface interrupt generator clock selector period comparator update duty cycle counter clock selector period comparator pwm0 pwm0
806 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.3 i/o lines description each channel outputs one waveform on one external i/o line. 42.4 product dependencies 42.4.1 i/o lines the pins used for interfacing the pwm may be multiplexed with pio lines. the programmer must first program the pio controller to assign the desire d pwm pins to their peripheral function. if i/o lines of the pwm are not used by the applicati on, they can be used for other purposes by the pio controller. all of the pwm outputs may or may not be enabled. if an application requires only four channels, then only four pio lines will be assigned to pwm outputs. 42.4.2 power management the pwm is not continuously clocked. the programmer must first enable the pwm clock in the power management controller (pmc) before using the pwm. however, if the application does not require pwm operations, the pwm clock can be stopped when not needed and be restarted later. in this case, th e pwm will resume its operat ions where it left off. configuring the pwm does not require the pwm clock to be enabled. 42.4.3 interrupt sources the pwm interrupt line is connected on one of the internal sources of the advanced interrupt controller. using the pwm interrupt requires the ai c to be programmed first. note that it is not recommended to use the pwm interrupt line in edge sensitive mode. 42.5 functional description the pwm macrocell is primarily composed of a clock generator module and 4 channels. ? clocked by the system clock, mck, the clock generator module provides 13 clocks. ? each channel can independently choose one of the clock generator outputs. ? each channel generates an output waveform with attributes that can be defined independently for each channel through the user interface registers. table 42-1. i/o line description name description type pwmx pwm waveform output for channel x output
807 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.5.1 pwm clock generator figure 42-2. functional view of the clock generator block diagram caution: before using the pwm macrocell, the pr ogrammer must first enable the pwm clock in the power management controller (pmc). the pwm macrocell master clock, mck, is divide d in the clock generator module to provide dif- ferent clocks available for all channels. each channel can independently select one of the divided clocks. the clock generator is divided in three blocks: ? a modulo n counter which provides 11 clocks: f mck , f mck /2, f mck /4, f mck /8, f mck /16, f mck /32, f mck /64, f mck /128, f mck /256, f mck /512, f mck /1024 ? two linear dividers (1, 1/2, 1/3, ... 1/255) that provide two separate clocks: clka and clkb each linear divider can independently divide one of the clocks of the modulo n counter. the selection of the clock to be divided is made ac cording to the prea (preb) field of the pwm mode register (pwm_mr). the resulting clock clka (clkb) is the clock selected divided by diva (divb) field value in the pwm mode register (pwm_mr). modulo n counter mck mck/2 mck/4 mck/16 mck/32 mck/64 mck/8 divider a clka diva pwm_mr mck mck/128 mck/256 mck/512 mck/1024 prea divider b clkb divb pwm_mr preb
808 6289d?atarm?3-oct-11 at91sam9r64/rl64 after a reset of the pwm controller, diva (divb) and prea (preb) in the pwm mode register are set to 0. this implies that after reset clka (clkb) are turned off. at reset, all clocks provided by the modulo n counter are turned off except clock ?clk?. this situa- tion is also true when the pwm master cl ock is turned off through the power management controller. 42.5.2 pwm channel 42.5.2.1 block diagram figure 42-3. functional view of the channel block diagram each of the 4 channels is composed of three blocks: ? a clock selector which selects one of the clocks provided by the clock generator described in section 42.5.1 ?pwm clock generator? on page 807 . ? an internal counter clocked by the output of the clock selector. this internal counter is incremented or decremented according to the channel configuration and comparators events. the size of the internal counter is 16 bits. ? a comparator used to generate events according to the internal counter value. it also computes the pwmx output waveform according to the configuration. 42.5.2.2 waveform properties the different properties of output waveforms are: ? the internal clock selection . the internal channel counter is clocked by one of the clocks provided by the clock generator described in the previous section. this channel parameter is defined in the cpre field of the pwm_cmrx register. this field is reset at 0. ? the waveform period . this channel parameter is defined in the cprd field of the pwm_cprdx register. - if the waveform is left aligned, then the output waveform period depends on the counter source clock and can be calculated: by using the master clock (mck) divided by an x given prescaler value (with x being 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024), the resulting period formula will be: by using a master clock divided by one of both diva or divb divider, the formula becomes, respectively: comparator pwmx output waveform internal counter clock selector inputs from clock generator inputs from apb bus channel xcprd () mck -------------------------------
809 6289d?atarm?3-oct-11 at91sam9r64/rl64 or if the waveform is center aligned then the output waveform period depends on the counter source clock and can be calculated: by using the master clock (mck) divided by an x given prescaler value (with x being 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024 ). the resulting period formula will be: by using a master clock divided by one of both diva or divb divider, the formula becomes, respectively: or ? the waveform duty cycle . this channel parameter is defined in the cdty field of the pwm_cdtyx register. if the waveform is left aligned then: if the waveform is center aligned, then: ? the waveform polarity. at the beginning of the period, the signal can be at high or low level. this property is defined in the cpol field of the pwm_cmrx register. by default the signal starts by a low level. ? the waveform alignment . the output waveform can be left or center aligned. center aligned waveforms can be used to generate non overlapped waveforms. this property is defined in the calg field of the pwm_cmrx register. the default mode is left aligned. figure 42-4. non overlapped center aligned waveforms note: 1. see figure 42-5 on page 811 for a detailed description of center aligned waveforms. when center aligned, the internal channel count er increases up to cprd and.decreases down to 0. this ends the period. when left aligned, the internal channel counter increases up to cprd and is reset. this ends the period. crpd diva () mck ------------------------------------------ crpd divab () mck ---------------------------------------------- 2 x cprd () mck ---------------------------------------- - 2 cprd diva () mck --------------------------------------------------- - 2 cprd divb () mck --------------------------------------------------- - duty cycle period 1 fchannel_x_clock cdty ? ? () period ------------------------------------------------------------------------------------------------------- - = duty cycle period 2 ? () 1 fchannel_x_clock cdty ? ? ()) period 2 ? () ---------------------------------------------------------------------------------------------------------------------- - = pwm0 pwm1 period no overlap
810 6289d?atarm?3-oct-11 at91sam9r64/rl64 thus, for the same cprd value, the period for a ce nter aligned channel is twice the period for a left aligned channel. waveforms are fixed at 0 when: ? cdty = cprd and cpol = 0 ? cdty = 0 and cpol = 1 waveforms are fixed at 1 (once the channel is enabled) when: ? cdty = 0 and cpol = 0 ? cdty = cprd and cpol = 1 the waveform polarity must be set before enabling the channel. this immediately affects the channel output level. changes on channel polari ty are not taken into account while the channel is enabled.
811 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 42-5. waveform properties pwm_mckx chidx(pwm_sr) center aligned cprd(pwm_cprdx) cdty(pwm_cdtyx) pwm_ccntx output waveform pwmx cpol(pwm_cmrx) = 0 output waveform pwmx cpol(pwm_cmrx) = 1 chidx(pwm_isr) left aligned cprd(pwm_cprdx) cdty(pwm_cdtyx) pwm_ccntx output waveform pwmx cpol(pwm_cmrx) = 0 output waveform pwmx cpol(pwm_cmrx) = 1 chidx(pwm_isr) calg(pwm_cmrx) = 0 calg(pwm_cmrx) = 1 period period chidx(pwm_ena) chidx(pwm_dis)
812 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.5.3 pwm controller operations 42.5.3.1 initialization before enabling the output channel, this chann el must have been configured by the software application: ? configuration of the clock generator if diva and divb are required ? selection of the clock for each channel (cpre field in the pwm_cmrx register) ? configuration of the waveform alignment for each channel (calg field in the pwm_cmrx register) ? configuration of the period for each channel (cprd in the pwm_cprdx register). writing in pwm_cprdx register is possible while the channel is disabled. after validation of the channel, the user must use pwm_cupdx register to update pwm_cprdx as explained below. ? configuration of the duty cycl e for each channel (cdty in the pwm_cdtyx register). writing in pwm_cdtyx register is possible while the channel is disabled. after validation of the channel, the user must use pwm_cupdx register to update pwm_cdtyx as explained below. ? configuration of the output waveform polarity for each channel (cpol in the pwm_cmrx register) ? enable interrupts (writing chidx in the pwm_ier register) ? enable the pwm channel (writing chidx in the pwm_ena register) it is possible to synchronize different channels by enabling them at the same time by means of writing simultaneously several chidx bits in the pwm_ena register. ? in such a situation, all channels may have the same clock selector configuration and the same period specified. 42.5.3.2 source clock selection criteria the large number of source clocks can make selection difficult. the relationship between the value in the period register (pwm_cprdx) an d the duty cycle regi ster (pwm_cdtyx) can help the user in choosing. the event number written in the period register gives the pwm accu- racy. the duty cycle quantum cannot be lower than 1/pwm_cprdx value. the higher the value of pwm_cprdx, the greater the pwm accuracy. for example, if the user sets 15 (in decimal) in pwm_cprdx, the user is able to set a value between 1 up to 14 in pwm_cdtyx register. the resulting duty cycle quantum cannot be lower than 1/15 of the pwm period. 42.5.3.3 changing the duty cycle or the period it is possible to modulate the output waveform duty cycle or period. to prevent unexpected output waveform, the user must use the update register (pwm_cupdx) to change waveform parameters while the channel is still enabled. the user can write a new period value or duty cycle value in the update re gister (pwm_cupdx). this register holds the new value until the end of the current cycle and updates the value for the next cycle. depending on the cpd field in the pwm_cmrx regist er, pwm_cupdx either updates pwm_cprdx or pwm_cdtyx. note that even if the update register is used, the period must not be smaller than the duty cycle.
813 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 42-6. synchronized period or duty cycle update to prevent overwriting the pwm_cupdx by software , the user can use status events in order to synchronize his software. two methods are possibl e. in both, the user must enable the dedi- cated interrupt in pwm_ier at pwm controller level. the first method ( polling method) consists of reading the relevant status bit in pwm_isr regis- ter according to the enabled channel(s). see figure 42-7 . the second method uses an interrupt service routine associated with the pwm channel. note: reading the pwm_isr register automatically clears chidx flags. figure 42-7. polling method note: polarity and alignment can be modified only when the channel is disabled. pwm_cupdx value pwm_cprdx pwm_cdtyx end of cycle pwm_cmrx. cpd user's writing 1 0 writing in pwm_cupdx the last write has been taken into account chidx = 1 writing in cpd field update of the period or duty cycle pwm_isr read acknowledgement and clear previous register state yes
814 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.5.3.4 interrupts depending on the interrupt mask in the pwm_imr register, an interrupt is generated at the end of the corresponding channel period. the interrupt remains active until a read operation in the pwm_isr register occurs. a channel interrupt is enabled by setting the corresponding bit in the pwm_ier register. a chan- nel interrupt is disabled by setting the corresponding bit in the pwm_idr register.
815 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6 pulse width modulation (pwm ) controller user interface table 42-2. pwm controller registers offset register name access peripheral reset value 0x00 pwm mode register pwm_mr read/write 0 0x04 pwm enable register pwm_ena write-only - 0x08 pwm disable register pwm_dis write-only - 0x0c pwm status register pwm_sr read-only 0 0x10 pwm interrupt enable register pwm_ier write-only - 0x14 pwm interrupt disable register pwm_idr write-only - 0x18 pwm interrupt mask register pwm_imr read-only 0 0x1c pwm interrupt status register pwm_isr read-only 0 0x4c - 0xfc reserved ? ? ? 0x100 - 0x1fc reserved 0x200 channel 0 mode register pwm_cmr0 read/write 0x0 0x204 channel 0 duty cycle register pwm_cdty0 read/write 0x0 0x208 channel 0 period register pwm_cprd0 read/write 0x0 0x20c channel 0 counter register pwm_ccnt0 read-only 0x0 0x210 channel 0 update register pwm_cupd0 write-only - ... reserved 0x220 channel 1 mode register pwm_cmr1 read/write 0x0 0x224 channel 1 duty cycle register pwm_cdty1 read/write 0x0 0x228 channel 1 period register pwm_cprd1 read/write 0x0 0x22c channel 1 counter register pwm_ccnt1 read-only 0x0 0x230 channel 1 update register pwm_cupd1 write-only - ... ... ... ... ...
816 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.1 pwm mode register register name: pwm_mr access type: read/write ? diva, divb: clka, clkb divide factor ? prea, preb 31 30 29 28 27 26 25 24 ???? preb 23 22 21 20 19 18 17 16 divb 15 14 13 12 11 10 9 8 ???? prea 76543210 diva diva, divb clka, clkb 0 clka, clkb clock is turned off 1 clka, clkb clock is clock selected by prea, preb 2-255 clka, clkb clock is clock selected by prea, preb divided by diva, divb factor. prea, preb divider input clock 0000mck. 0001mck/2 0010mck/4 0011mck/8 0100mck/16 0101mck/32 0110mck/64 0111mck/128 1000mck/256 1001mck/512 1010mck/ 1024 other reserved
817 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.2 pwm enable register register name: pwm_ena access type: write-only ? chidx: channel id 0 = no effect. 1 = enable pwm output for channel x. 42.6.3 pwm disable register register name: pwm_dis access type: write-only ? chidx: channel id 0 = no effect. 1 = disable pwm output for channel x. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0
818 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.4 pwm status register register name: pwm_sr access type: read-only ? chidx: channel id 0 = pwm output for channel x is disabled. 1 = pwm output for channel x is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0
819 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.5 pwm interrupt enable register register name: pwm_ier access type: write-only ? chidx: channel id. 0 = no effect. 1 = enable interrupt for pwm channel x. 42.6.6 pwm interrupt disable register register name: pwm_idr access type: write-only ? chidx: channel id. 0 = no effect. 1 = disable interrupt for pwm channel x. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0
820 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.7 pwm interrupt mask register register name: pwm_imr access type: read-only ? chidx: channel id. 0 = interrupt for pwm channel x is disabled. 1 = interrupt for pwm channel x is enabled. 42.6.8 pwm interrupt status register register name: pwm_isr access type: read-only ? chidx: channel id 0 = no new channel period has been achieved si nce the last read of the pwm_isr register. 1 = at least one new channel period has been achiev ed since the last read of the pwm_isr register. note: reading pwm_isr automa tically clears chidx flags. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ????chid3chid2chid1chid0
821 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.9 pwm channel mode register register name: pwm_cmrx access type: read/write ? cpre: channel pre-scaler ? calg: channel alignment 0 = the period is left aligned. 1 = the period is center aligned. ? cpol: channel polarity 0 = the output waveform starts at a low level. 1 = the output waveform starts at a high level. ? cpd: channel update period 0 = writing to the pwm_cupdx will modify the duty cycle at the next period start event. 1 = writing to the pwm_cupdx will modify th e period at the next period start event. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????cpdcpolcalg 76543210 ???? cpre cpre channel pre-scaler 0000mck 0001mck/2 0010mck/4 0011mck/8 0100mck/16 0101mck/32 0110mck/64 0111mck/128 1000mck/256 1001mck/512 1010mck/1024 1011clka 1100clkb other reserved
822 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.10 pwm channel duty cycle register register name: pwm_cdtyx access type: read/write only the first 16 bits (internal ch annel counter size) are significant. ? cdty: channel duty cycle defines the waveform duty cycle. this value must be defined between 0 and cprd (pwm_cprx). 31 30 29 28 27 26 25 24 cdty 23 22 21 20 19 18 17 16 cdty 15 14 13 12 11 10 9 8 cdty 76543210 cdty
823 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.11 pwm channel period register register name: pwm_cprdx access type: read/write only the first 16 bits (internal ch annel counter size) are significant. ? cprd: channel period if the waveform is left-aligned, then the output waveform period depends on the counter source clock and can be calculated: ? by using the master clock (mck) divided by an x given prescaler value (with x being 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024). the resu lting period formula will be: ? by using a master clock divided by one of both diva or divb divider, the formula becomes, respectively: or if the waveform is center-aligned, then the output waveform period depends on the counter source clock and can be calculated: ? by using the master clock (mck) divided by an x given prescaler value (with x being 1, 2, 4, 8, 16, 32, 64, 128, 256, 512, or 1024) . the resulting pe riod formula will be: ? by using a master clock divided by one of both diva or divb divider, the formula becomes, respectively: or 31 30 29 28 27 26 25 24 cprd 23 22 21 20 19 18 17 16 cprd 15 14 13 12 11 10 9 8 cprd 76543210 cprd xcprd () mck ------------------------------- crpd diva () mck ------------------------------------------ crpd divab () mck ---------------------------------------------- 2 x cprd () mck ---------------------------------------- - 2 cprd diva () mck --------------------------------------------------- - 2 cprd divb () mck --------------------------------------------------- -
824 6289d?atarm?3-oct-11 at91sam9r64/rl64 42.6.12 pwm channel counter register register name: pwm_ccntx access type: read-only ? cnt: channel counter register internal counter value. this register is reset when: ? the channel is enabled (writing chidx in the pwm_ena register). ? the counter reaches cprd value defined in the pwm_ cprdx register if the waveform is left aligned. 42.6.13 pwm channel update register register name: pwm_cupdx access type: write-only this register acts as a double buffer for the period or the duty cycle. this prevents an unexpected waveform when modify- ing the waveform period or duty-cycle. only the first 16 bits (internal ch annel counter size) are significant. 31 30 29 28 27 26 25 24 cnt 23 22 21 20 19 18 17 16 cnt 15 14 13 12 11 10 9 8 cnt 76543210 cnt 31 30 29 28 27 26 25 24 cupd 23 22 21 20 19 18 17 16 cupd 15 14 13 12 11 10 9 8 cupd 76543210 cupd cpd (pwm_cmrx register) 0 the duty-cycle (cdtc in the pwm_cdrx regist er) is updated with the cupd value at the beginning of the next period. 1 the period (cprd in the pwm_cprx register) is updated with the cupd value at the beginning of the next period.
825 6289d?atarm?3-oct-11 at91sam9r64/rl64 43. touch screen adc controller 43.1 description the touch screen adc controller is based on a successive approximation register (sar) 10- bit analog-to-digital converter (adc). it also integrates: ? a 6-to-1 analog multiplexer for analog-to-digital conversions of up to 6 analog lines ? 4 power switches that measure both axis positions on the resistive touch screen panel ? 1 additional power switch and an embedded resistor that detects pen-interrupt and pen loss the conversions extend from 0v to tsadvref. the tsadcc supports an 8-bit or 10-bit resolution mode, and conversion results are reported in a common register for all channels, as well as in a channel-dedicated register. conversions can be started for all enabled channels, either by a software trigger, by detection of a rising edge on the external trigger pin tsadtrg or by an integrated programmable timer. when the touch screen is enabled, a timer-triggered sequencer automatically configures the power switches, performs the conversions and stores the results in dedicated registers. the tsadcc also integrates a sleep mode and a pen-detect mode and connects with one pdc channel. these features reduce both pow er consumption and processor intervention. the tsadcc timings, like the startup time and sample and hold time, are fully configurable.
826 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.2 block diagram figure 43-1. tsadcc block diagram tsadc interrupt tsadc adtrg vddana advref gnd trigger selection adc control logic successive approximation register analog-to-digital converter timer user interface aic peripheral bridge apb pdc ad0x p ad1x m ad3y m gpad4 gpadx ad2y p pio touch screen switches touch screen sequencer memory controller tsadc clock pmc gpadx: last general-purpose adc channel defined by the number of channels .... analog multiplexer tsadcc
827 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.3 signal description 43.4 product dependencies 43.4.1 power management the tsadc controller is not continuously clocked. the programmer must first enable the tsadc controller clock in the power management controller (pmc) before using the tsadc controller. however, if the application does not require tsadc controller operations, the tsadc controller clock can be stopped when not needed and be restarted later. configuring the tsadc controller does not require the tsadc controller clock to be enabled. 43.4.2 interrupt sources the tsadcc interrupt line is connected on one of the internal sources of the advanced inter- rupt controller. using the tsadcc interrupt requires the aic to be programmed first. 43.4.3 analog inputs the analog input pins can be multiplexed with pio lines. in this case, the assignment of the tsadcc input is automatically done as soon as the corresponding channel is enabled by writing the register tsadcc_cher. by default, after reset, the pio lines are configured as input with its pull-up enabled and the tsadcc inputs are connected to the gnd. 43.4.4 i/o lines the pin tsadtrg may be shared with other peripheral functions through the pio controller. in this case, the pio controller should be set accordingly to assign the pin tsadtrg to the tsadcc function. 43.4.5 conversion performances for performance and electrical characteristics of the tsadcc, see the section ?electrical char- acteristics? of the full datasheet. table 43-1. tsadcc pin description pin name description vddana analog power supply tsadvref reference voltage ad0x p analog input channel 0 or touch screen top channel ad1x m analog input channel 1 or touch screen bottom channel ad2y p analog input channel 2 or touch screen right channel ad3y m analog input channel 3 or touch screen left channel gpad4 - gpad5 general-purpose analog input channels 4 to 5 tsadtrg external trigger
828 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.5 analog-to-digital con verter functional description the tsadcc embeds a successive approximation register (sar) analog-to-digital converter (adc). the adc supports 8-bit or 10-bit resolutions. the conversion is performed on a full range betw een 0v and the reference voltage pin tsad- vref. analog inputs between these voltages convert to values based on a linear conversion. 43.5.1 adc resolution the adc supports 8-bit or 10-bit resolutions. the 8- bit selection is performed by setting the bit lowres in the tsadcc mode register. see section 43.10.2 ?tsadcc mode register? on page 840 . by default, after a reset, the resolution is the highest and the data field in the ?tsadcc chan- nel data register x (x = 0..5)? are fully used. by setting the bit lowres, the adc switches in the lowest resolution and the conversion results can be read in the eight lowest significant bits of the data registers. the two highest bits of the data field in the corresponding tsadcc_ cdr register and of the ldata field in the tsadcc_lcdr register read 0. moreover, when a pdc channel is connected to the tsadcc, 10-bit resolution sets the transfer request sizes to 16-bit. setting the bit lowres autom atically switches to 8-bit data transfers. in this case, the destination buffers are optimized. all the conversions for the touch screen forces the adc in 10-bit resolution, regardless of the lowres setting. further details are given in the section ?operating modes? on page 835 . 43.5.2 adc clock the tsadcc uses the adc clock to perform conv ersions. converting a single analog value to a 10-bit digital data requires sample and hold clock cycles as defined in the field shtim of the ?tsadcc mode register? and 10 adc clock cycles. the adc clock frequency is selected in the prescal field of the ?tsadcc mode register? . the adc clock range is between mck/2, if presc al is 0, and mck/128, if prescal is set to 63 (0x3f). prescal must be programmed in order to provide an adc clock frequency accord- ing to the maximum sampling rate parameter given in the electrical characteristics section. 43.5.3 sleep mode the tsadcc sleep mode maximizes power saving by automatically deactivating the analog-to- digital converter cell when it is not being us ed for conversions. sleep mode is enabled by set- ting the bit sleep in ?tsadcc mode register? . the sleep of the adc is automatic ally managed by th e conversion sequencer, which can auto- matically process the conversions of all channels at lowest power consumption. when a trigger occurs, the analog-to-digital conver ter cell is automatically activated. as the analog cell requires a start-up time, the logic waits during this time and then starts the conver- sion on the enabled channels. when all conversions are complete, the adc is deactivated until the next trigger. 43.5.4 startup time the touch screen adc has a minimal startup time when it exits the sleep mode. as the adc clock depends on the application, the user has to program the field startup in the ?tsadcc
829 6289d?atarm?3-oct-11 at91sam9r64/rl64 mode register? , which defines how many adc clock cycles to wait before pe rforming the first conversion of the sequence. the field startup can define a startup time between 8 and 1024 adc clock cycles by steps of 8. the user must assure that adc startup time giv en in the section ?electrical characteristics? is covered by this wait time. 43.5.5 sample and hold time in the same way, a minimal sample and hold time is necessary for the tsadcc to guarantee the best converted final value between selection of two channels. this time depends on the input impedance of the analog input, but also on the output impedance of the driver providing the sig- nal to the analog input, as there is no input buffer amplifier. the sample and hold time has to be programmed through the bitfields shtim in the ?tsadcc mode register? and tsshtim in the ?tsadcc touch screen register? . the field shtim defines the number of adc cl ock cycles for an analog input, while the field tsshtim defines the number of adc clock cycles for a touch screen input. these both fields can define a sample and hold time between 1 and 16 adc clock cycles. the field tsshtim defines also the time the power switches of the touch screen are closed when the tsadcc performs a conversion for the touch screen. 43.6 touch screen 43.6.1 resistive touch screen principles a resistive touch screen is based on two resistive films, each one being fitted with a pair of elec- trodes, placed at the top and bottom on one film, and on the right and left on the other. between the two, there is a layer that acts as an insulator, but also enables contact when you press the screen. this is illustrated in figure 43-2 .
830 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 43-2. touch screen position measurement 43.6.2 position measurement method as shown in figure 43-2 , to detect the position of a contact, a supply is first applied from top to bottom. due to the linear resistance of the film, there is a voltage gradient from top to bottom. when a contact is performed on the screen, the voltage propagates at the point the two surfaces come into contact with the second film. if the input impedance on the right and left electrodes sense is high enough, the film does not affect this voltage, despite its resistive nature. for the horizontal direction, the same method is used, but by applying supply from left to right. the range depends on the supply voltage and on the loss in the switches that connect to the top and bottom electrodes. in an ideal world (linear, with no loss through switches), the horizontal position is equal to: vy m / vdd or vy p / vdd. the proposed implementation with on-chip power switches is shown in figure 43-3 . the voltage measurement at the output of the switch compensates for the switches loss. it is possible to correct for the switch loss by performing the operation: [vy p - vx m ] / [vx p - vx m ] . this requires additional measurements, as shown in figure 43-3 . x m x p y m y p x p x m y p vdd gnd volt horizontal position detection y p y m x p vdd gnd volt vertical position detection pen contact
831 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 43-3. touch screen switches implementation 43.6.3 pen detect method when there is no contact, it is not necessary to perform conversion. however, it is important to detect a contact by keeping the powe r consumption as low as possible. the proposed implementation polarizes the vertical panel by closing the switch on x p and ties the horizontal panel by an embedded resistor connected to y m . this resistor is enabled by a fifth switch. since there is no contact, no current is flowing and there is no related power consump- tion. as soon as a contact occurs, a current is flowing in the touch screen and a schmitt trigger detects the voltage in the resistor. the touch screen interrupt configuration is entered by programming the bit pendet in the ?tsadcc mode register? . if this bit is written at 1, the switch on x p and the switch on the resis- tor are both closed, except when a touch screen conversion is in progress. to complete the circuit, a programmable debouncer is placed at the output of the schmitt trigger. this debouncer is programmable at 1 adc clock period, useful when the system is running at slow clock, or at up to 2 15 adc clock periods, but better used to filter noise on the touch x p x m y m vddana y p vddana gnd gnd to the adc x p x m y p vddana gnd switch resistor switch resistor y p y m x p vddana gnd switch resistor switch resistor horizontal position detection vertical position detection
832 6289d?atarm?3-oct-11 at91sam9r64/rl64 screen panel when the system is running at high speed. the de bouncer length can be selected by programming the field pendbc in ?tsadcc mode register? . figure 43-4. touch screen pen detect the touch screen p en detect can be used to generate a tsadcc interrupt to wake up the sys- tem or it can be programmed to trig a conversion, so that a position can be measured as soon as a contact is detected if the tsadcc is programmed for an operating mode involving the touch screen. the pen detect generates two types of status, reported in the ?tsadcc status register? : ? the bit pencnt is set as soon as a current flows for a time over the debouncing time as defined by pendbc and remains set until tsadcc_sr is read. ? the bit nocnt is set as soon as no current flows for a time over the debouncing time as defined by pendbc and remains set until tsadcc_sr is read. both bits are automatically cleared as soon as the status register tsadcc_sr is read, and can generate an interrupt by writing accordingly the ?tsadcc interrupt enable register? . 43.7 conversion results when a conversion is completed, the resulting 8-bit or 10-bit digital value is right-aligned and stored in the ?tsadcc channel data register x (x = 0..5)? of the current channel and in the ?tsadcc last converted data register? . the channel eoc bit and the bit drdy in the ?tsadcc status register? are both set. if the pdc channel is enabled, drdy rising triggers a data transfer. in any case, either eoc and drdy can trigger an interrupt. reading one of the ?tsadcc channel data register x (x = 0..5)? registers clears the corre- sponding eoc bit. reading ?tsadcc last converted data register? clears the drdy bit and the eoc bit corre- sponding to the last converted channel. x p x m y m vddana y p vddana gnd gnd to the adc gnd pen interrupt debouncer pendbc
833 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 43-5. eocx and drdy flag behavior if the ?tsadcc channel data register x (x = 0..5)? is not read before further incoming data is converted, the corresponding overrun error (ovre) flag is set in the ?tsadcc status register? . in the same way, new data converted when drdy is high sets the bit govre (general overrun error) in the ?tsadcc status register? . the ovre and govre flags are automatically cleared when the ?tsadcc status register? is read. figure 43-6. govre and ovrex flag behavior conversion time read the adc_cdrx eocx drdy read the adc_lcdr chx (adc_chsr) (adc_sr) (adc_sr) write the adc_cr with start = 1 write the adc_cr with start = 1 shtim conversion time shtim eoc0 govre ch0 (adc_chsr) (adc_sr) (adc_sr) adtrg eoc1 ch1 (adc_chsr) (adc_sr) ovre0 (adc_sr) undefined data data a data b adc_lcdr undefined data data a adc_cdr0 undefined data data b adc_cdr1 data c data c conversion read adc_sr drdy (adc_sr) read adc_cdr1 read adc_cdr0 shtim conversion shtim conversion shtim
834 6289d?atarm?3-oct-11 at91sam9r64/rl64 warning: if the corresponding channel is disabled during a conversion or if it is disabled and then reenabled during a conversion, its associated data and its corresponding eoc and ovre flags in tsadcc_sr are unpredictable.
835 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.8 conversion triggers conversions of the active analog channels are started with a software or a hardware trigger. the software trigger is pr ovided by writing the ?tsadcc control register? with the bit start at 1. the hardware trigger ca n be selected by the filed trgm od in the tsadcc trigger register (tsadcc_trgr) between: ? an edge, either rising or falling or any, de tected on the external trigger pin tsadtrg ? the pen detect, depending on how the pendet bit is set in the ?tsadcc mode register? ? a continuous trigger, meaning the tsadcc restarts the next sequence as soon as it finishes the current one, in this case, only one software trigger is required at the beginning ? a periodic trigger, which is defined by programming the field trgper in the ?tsadcc trigger register? enabling hardware triggers does not disable the so ftware trigger functionality. thus, if a hard- ware trigger is selected, the star t of a conversion can still be in itiated by the so ftware trigger. 43.9 operating modes the touch screen adc controller features se veral operating modes, each defining a conver- sion sequence: ? the adc mode: at each trigger, all the enabled channels are converted ? the touch screen mode: at each trigger, the touch screen inputs are converted with the switches accordingly set and the results are processed and stored in the corresponding data registers the operating mode of the tsadcc is prog rammed in the field tsamod in the ?tsadcc mode register? . the conversion sequences for each operating mode are described in the following paragraphs. the conversion sequencer, combined with the sleep modes, allows automatic processing with minimum processor intervention and optimized pow er consumption. in any case, the sequence starts with a trigger event. note: the reference voltage pins always remain connected in normal mode as in sleep mode. 43.9.1 adc mode in the adc mode, the active channels are defined by the ?tsadcc channel status register? , which is defined by writing the ?tsadcc channel enable register? and ?tsadcc channel dis- able register? . the results are stored in the ?tsadcc channel data register x (x = 0..5)? and in the ?tsadcc last converted data register? , so that data transfers by using the pdc are possible. at each trigger, the following sequence is performed: 4. if sleep is set, wake up the adc cell and wait for the startup time. 5. if channel 0 is enabled, convert channe l 0 and store result in both tsadcc_cdr0 and tsadcc_lcdr. 6. if channel 1 is enabled, convert channe l 1 and store result in both tsadcc_cdr1 and tsadcc_lcdr.
836 6289d?atarm?3-oct-11 at91sam9r64/rl64 7. if channel 2 is enabled, convert channe l 2 and store result in both tsadcc_cdr2 and tsadcc_lcdr. 8. if channel 3 is enabled, convert channe l 3 and store result in both tsadcc_cdr3 and tsadcc_lcdr. 9. if channel 4 to channel 5 are enabled, convert the channels and store result in the cor- responding tsadcc_cdrx and tsadcc_lcdr. 10. if sleep is set, sleep down the adc cell. if the pdc is enabled, all the converted data are transferred contiguously in the memory buffer. the bit lowres defines which resolution is used, either 8-bit or 10-bit, and thus the width of the pdc memory buffer. 43.9.2 touch screen mode writing tsamod to ?touch screen only mode? automatically enables the touch screen pins as analog inputs, and thus disables the di gital function of the corresponding pins. in touch screen mode, the channels 0 to 3 corresponding to the touch screen inputs are auto- matically activated and the bits ch0 to ch3 are automatically set in the ?tsadcc channel status register? . the remaining channels can be either enabled or disabled by the user a nd their conversions are performed at the end of each touch screen sequence. the resolution is forced to 10 bits, regardless of the lowres bit setting. at each trigger, the following sequence is performed: 1. if sleep is set, wake up the adc cell and wait for the startup time. 2. close the switches on the inputs x p and x m during the sample and hold time. 3. convert channel x m and store the resu lt in tsadcc_cdr1. 4. close the switches on the inputs x p and x m during the sample and hold time. 5. convert channel x p , subtract tsadcc_cdr1 from the result and store the subtraction result in both tsad cc_cdr0 and tsadcc_lcdr. 6. close the switches on the inputs x p and x m during the sample and hold time. 7. convert channel y p , subtract tsadcc_cdr1 from the result and store the subtraction result in both tsad cc_cdr1 and tsadcc_lcdr. 8. close the switches on the inputs y p and y m during the sample and hold time. 9. convert channel y m and store the resu lt in tsadcc_cdr3. 10. close the switches on the inputs y p and y m during the sample and hold time. 11. convert channel y p , subtract tsadcc_cdr3 from the result and store the subtraction result in both tsad cc_cdr2 and tsadcc_lcdr. 12. close the switches on the inputs y p and y m during the sample and hold time. 13. convert channel x p , subtract tsadcc_cdr3 from the result and store the subtraction result in both tsad cc_cdr3 and tsadcc_lcdr. 14. if channel 4 to channel 5 are enabled, convert the channels and store result in the cor- responding tsadcc_cdrx and tsadcc_lcdr. 15. if sleep is set, sleep down the adc cell. the resulting buffer is 16 bits wide and its structure stored in memory is: 1. x p - x m 2. y p - x m
837 6289d?atarm?3-oct-11 at91sam9r64/rl64 3. y p - y m 4. x p - y m 5. ad4 to ad5 if enabled. the vertical position can be easily calculated by dividing the data at offset 0 (x p - x m ) by the data at offset 1 (y p - x m ). the horizontal position can be easily calcul ated by dividing the data at offset 2 (y p - y m ) by the data at offset 3 (x p - y m ).
838 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10 touch screen adc controll er (tsadcc) user interface table 43-2. register mapping offset register name access reset 0x00 control register tsadcc_cr write-only ? 0x04 mode register tsadcc_mr read-write 0x0000_0000 0x08 trigger register tsadcc_trgr read-write 0x0000_0000 0x0c touch screen register tsadcc_tsr read-write 0x0000_0000 0x10 channel enable register tsadcc_cher write-only ? 0x14 channel disable register tsadcc_chdr write-only ? 0x18 channel status register tsadcc_chsr read-only 0x0000_0000 0x1c status register tsadcc_sr read-only 0x000c_0000 0x20 last converted data register tsadcc_lcdr read-only 0x0000_0000 0x24 interrupt enable register tsadcc_ier write-only ? 0x28 interrupt disable register tsadcc_idr write-only ? 0x2c interrupt mask register tsadcc_imr read-only 0x0000_0000 0x30 channel data register 0 tsadcc_cdr0 read-only 0x0000_0000 0x34 channel data register 1 tsadcc_cdr1 read-only 0x0000_0000 0x38 channel data register 2 tsadcc_cdr2 read-only 0x0000_0000 0x3c channel data register 3 tsadcc_cdr3 read-only 0x0000_0000 0x40 channel data register 4 tsadcc_cdr4 read-only 0x0000_0000 0x44 channel data register 5 tsadcc_cdr5 read-only 0x0000_0000 0x48 - 0xfc reserved ? ? ?
839 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.1 tsadcc control register register name: tsadcc_cr access type: write-only ? swrst: software reset 0 = no effect. 1 = resets the tsadcc simulating a hardware reset. ? start: start conversion 0 = no effect. 1 = begins analog-to-digital conversion. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ?????? start swrst
840 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.2 tsadcc mode register register name: tsadcc_mr access type: read/write ? tsamod: touch screen adc mode ? lowres: resolution selection this option is only valid in adc mode. ? sleep: sleep mode ? prescal: prescaler rate selection adcclk = mck / ( (prescal+1) * 2 ) ? pendet: pen detect selection 0: disable the touch screen pins as analog inputs 1: enable the touch screen pins as analog inputs ? startup: start up time startup time = (startup+1) * 8 / adcclk ? shtim: sample & hold time for adc channels 31 30 29 28 27 26 25 24 pendbc shtim 23 22 21 20 19 18 17 16 ?startup 15 14 13 12 11 10 9 8 ?? prescal 76543210 ? pendet sleep lowres ? ? tsamod tsamod touch screen adc operating mode 0 adc mode 1 touch screen only mode 2reserved 3reserved lowres selected resolution 0 10-bit resolution 1 8-bit resolution sleep selected mode 0 normal mode 1 sleep mode
841 6289d?atarm?3-oct-11 at91sam9r64/rl64 programming 0 for shtim gives a sample & hold time equal to (2/adcclk). sample & hold time = (shtim+1) / adcclk ? pendbc: pen detect debouncing period period = 2 pendbc /adcclk
842 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.3 tsadcc trigger register register name: tsadcc_trgr access type: read/write ? trgmod: trigger mode ? trgper: trigger period effective only if trgmod defines a periodic trigger defines the periodic trigger period, with the following equations: trigger period = (trgper+1) / adcclk 31 30 29 28 27 26 25 24 trgper 23 22 21 20 19 18 17 16 trgper 15 14 13 12 11 10 9 8 ???????? 76543210 ????? trgmod trgmod selected trigger mode 0 0 0 no trigger, only software trigger can start conversions 0 0 1 external trigger rising edge 0 1 0 external trigger falling edge 0 1 1 external trigger any edge 1 0 0 pen detect trigger (shall be selected only if pendet is set and tsamod = touch screen only mode) 1 0 1 periodic trigger (trgper shall be initiated appropriately) 1 1 0 continuous mode 111reserved
843 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.4 tsadcc touch screen register register name: tsadcc_tsr access type: read/write ? tsshtim: sample & hold time for touch screen channels programming 0 for tsshtim gives a touch screen sample & hold time equal to (2/adcclk). touch screen sample & hold time = (tsshtim+1) / adcclk 43.10.5 tsadcc channel enable register register name: tsadcc_cher access type: write-only ? chx: channel x enable 0 = no effect. 1 = enables the corresponding channel. 31 30 29 28 27 26 25 24 ???? tsshtim 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ???????? 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ch5 ch4 ch3 ch2 ch1 ch0
844 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.6 tsadcc channel disable register register name: tsadcc_chdr access type: write-only ? chx: channel x disable 0 = no effect. 1 = disables the corresponding channel. warning: if the corresponding channel is disabled during a conversion or if it is disabled then reenabled during a conver- sion, its associated data and its corresponding eoc and ovre flags in tsadcc_sr are unpredictable. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ch5 ch4 ch3 ch2 ch1 ch0
845 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.7 tsadcc channel status register register name: tsadcc_chsr access type: read-only ? chx: channel x status 0 = corresponding c hannel is disabled. 1 = corresponding channel is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ???????? 76543210 ? ? ch5 ch4 ch3 ch2 ch1 ch0
846 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.8 tsadcc status register register name: tsadcc_sr access type: read-only ? eocx: end of conversion x 0 = corresponding analog channel is disabl ed, or the conversion is not finished. 1 = corresponding analog channel is enabled and conversion is complete. ? ovrex: overrun error x 0 = no overrun error on the corresponding channel since the last read of tsadcc_sr. 1 = there has been an overrun error on the corres ponding channel since the last read of tsadcc_sr. ? drdy: data ready 0 = no data has been converted since the last read of tsadcc_lcdr. 1 = at least one data has been converted and is available in tsadcc_lcdr. ? govre: general overrun error 0 = no general overrun error occurred since the last read of tsadcc_sr. 1 = at least one general overrun error has occurred since the last read of tsadcc_sr. ? endrx: end of rx buffer 0 = the receive counter re gister has not reached 0 since the last write in tsadcc_rcr or tsadcc_rncr. 1 = the receive counter register has reached 0 si nce the last write in tsadcc_rcr or tsadcc_rncr. ? rxbuff: rx buffer full 0 = tsadcc_rcr or tsadcc_rncr have a value other than 0. 1 = both tsadcc_rcr and tsadcc_rncr have a value of 0. ? pencnt: pen contact 0 = no contact has been detected since the last read of tsadcc_sr or pendet is at 0. 1 = at least one contact has been detected since the last read of tsadcc_sr. ? nocnt: no contact 0 = no contact loss has been detected since the last read of tsadcc_sr or pendet is at 0. 1 = at least one contact loss has been dete cted since the last read of tsadcc_sr. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? nocnt pencnt rxbuff endrx govre drdy 15 14 13 12 11 10 9 8 ? ? ovre5 ovre4 ovre3 ovre2 ovre1 ovre0 76543210 ? ? eoc5 eoc4 eoc3 eoc2 eoc1 eoc0
847 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.9 tsadcc channel data register x (x = 0..5) register name: tsadcc_cdr0..tsadcc_cdr5 access type: read-only ? data: channel data the analog-to-digital conversion data is placed into this regi ster at the end of a conversion of the corresponding channel and remains until a new conversion on the same channel is completed. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????? data 76543210 data
848 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.10 tsadcc last converted data register register name: tsadcc_lcdr access type: read-only ? ldata: last data converted the analog-to-digital conversion data is placed into this r egister at the end of a conversion on any analog channel and remains until a new conversion on any analog channel is completed. 43.10.11 tsadcc interrupt enable register register name: tsadcc_ier access type: write-only ? eocx: end of conversion interrupt enable x ? ovrex: overrun error interrupt enable x ? drdy: data ready interrupt enable ? govre: general overrun error interrupt enable ? endrx: end of receive buffer interrupt enable ? rxbuff: receive buffer full interrupt enable ? pencnt: pen contact ? nocnt: no contact 0 = no effect. 1 = enables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ???????? 15 14 13 12 11 10 9 8 ?????? ldata 76543210 ldata 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? nocnt pencnt rxbuff endrx govre drdy 15 14 13 12 11 10 9 8 ? ? ovre5 ovre4 ovre3 ovre2 ovre1 ovre0 76543210 ? ? eoc5 eoc4 eoc3 eoc2 eoc1 eoc0
849 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.12 tsadcc interrupt disable register register name: tsadcc_idr access type: write-only ? eocx: end of conversion interrupt disable x ? ovrex: overrun error interrupt disable x ? drdy: data ready interrupt disable ? govre: general overrun error interrupt disable ? endrx: end of receive buffer interrupt disable ? rxbuff: receive buffer full interrupt disable ? pencnt: pen contact ? nocnt: no contact 0 = no effect. 1 = disables the corresponding interrupt. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? nocnt pencnt rxbuff endrx govre drdy 15 14 13 12 11 10 9 8 ? ? ovre5 ovre4 ovre3 ovre2 ovre1 ovre0 76543210 ? ? eoc5 eoc4 eoc3 eoc2 eoc1 eoc0
850 6289d?atarm?3-oct-11 at91sam9r64/rl64 43.10.13 tsadcc interrupt mask register register name: tsadcc_imr access type: read-only ? eocx: end of conversion interrupt mask x ? ovrex: overrun erro r interrupt mask x ? drdy: data ready interrupt mask ? govre: general overrun error interrupt mask ? endrx: end of receive buffer interrupt mask ? rxbuff: receive buffer full interrupt mask ? pencnt: pen contact ? nocnt: no contact 0 = the corresponding interrupt is disabled. 1 = the corresponding interrupt is enabled. 31 30 29 28 27 26 25 24 ???????? 23 22 21 20 19 18 17 16 ? ? nocnt pencnt rxbuff endrx govre drdy 15 14 13 12 11 10 9 8 ? ? ovre5 ovre4 ovre3 ovre2 ovre1 ovre0 76543210 ? ? eoc5 eoc4 eoc3 eoc2 eoc1 eoc0
851 6289d?atarm?3-oct-11 at91sam9r64/rl64 44. at91sam9r64/rl64 electr ical characteristics 44.1 absolute maximum ratings 44.2 dc characteristics the following characteristics are applicable to the operating temperature range: t a = -40c to 85c, unless otherwise specified. table 44-1. absolute maximum ratings operating temperature (industrial)............... -40 c to +85c *notice: stresses beyond those listed under ?absolute maximum ratings? may cause permanent damage to the device. this is a stress rating only and functional operation of the device at these or other conditions beyond those indicated in the operational se ctions of this specification is not implied. exposure to absolute maximum rating conditions for extended periods may affect device reli- ability. storage temperature .................................. -60c to +150c voltage on input pins with respect to ground .............. ................... -0.3v to +4.0v maximum operating voltage (vddcore and vddbu).. .............. .............. ........... ..... 1.5v maximum operating voltage (vddosc, vddpll, vddiomx and vddiopx).. .......... 4.0v total dc output current on all i/o lines ................... 500 ma table 44-2. dc characteristics symbol parameter conditions min typ max units v vddcore dc supply core 1.08 1.2 1.32 v v vddbu dc supply backup 1.08 1.2 1.32 v v vddplla dc supply plla 3.0 3.3 3.6 v v vddpllb dc supply pllb 1.08 1.2 1.32 v v vddpllb ripple on v vddpllb rms value, from 10k hz to 10 mhz 10 mv i vddpllb current supply normal operating mode 30 ma v vddiom dc supply memory i/os selectable by software in bus matrix 1.65 1.8 1.95 v 3.0 3.3 3.6 v v vddiop dc supply peripheral i/os 3.0 3.3 3.6 v v vddutmii usb utmi+ interface power supply 3.0 3.3 3.6 v v vddutmic usb utmi+ core power supply 1.08 1.2 1.32 v v vddana adc analog power supply 3.0 3.3 3.6 v v il input low-level voltage v vddio from 3.0v to 3.6v -0.3 0.8 v v vddio from 1.65v to 1.95v -0.3 0.3 x v vddio v
852 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.3 power consumption ? typical power consumption of plls , slow clock and main oscillator. ? power consumption of power supply in four different modes: active, idle, ultra low-power and backup. ? power consumption by peripheral: calculated as the difference in current measurement after having enabled then disabled the corresponding clock. 44.3.1 power consumption versus modes the values in table 44-3 and table 44-4 on page 853 are measured values of the power con- sumption with operating conditions as follows: ?v ddiom = v ddiop = 3.3 v ?v ddplla = 3.3v ?v ddcore = v ddbu = v ddpllb = 1.2v ?v ddana = 3.3v ? there is no consumption on the i/os of the device v ih input high-level voltage v vddio = v vddiom or v vddiop from 3.0v to 3.6v 2.0 v vddio +0.3v v v vddio = v vddiom or v vddiop from 1.65v to 1.95v 0.7 x v vddio v vddio +0.3v v v ol output low-level voltage i o max, v vddio from 3.0v to 3.6v 0.4 v cmos (i o <0.3 ma) v vddio from 1.65v to 1.95v 0.1 v ttl (i o max) v vddio from 1.65v to 1.95v 0.4 v v oh output high-level voltage i o max, v vddio from 3.0v to 3.6v v vddio - 0.4 v cmos (i o <0.3 ma) v vddio from 1.65v to 1.95v v vddio - 0.1 v ttl (i o max) v vddio from 1.65v to 1.95v v vddio - 0.4 r pullup pull-up resistance pa0-pa31, pb0-pb31, pc0-pc31, pd0-pd21 70 100 175 kohm i o output current pa0-pa31, pb0-pb31, pc0-pc31, pd0-pd21 8 ma i sc static current on v vddcore = 1.2v, mck = 0 hz, excluding por t a =25c 250 450 a all inputs driven tms, tdi, tck, nrst = 1 t a =85c 4000 on v vddbu = 1.2v, logic cells consumption, excluding por t a =25c 4 5 a all inputs driven wkup = 0 t a =85c 30 table 44-2. dc characteristics (continued) symbol parameter conditions min typ max units
853 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-1. measures schematics these figures represent the power consum ption measured on the power supplies. table 44-3. power consumption for different modes mode conditions consumption unit active arm core clock is 200mhz. mck is 100mhz. all peripheral clocks de-activated. icache enabled. on amp2 47 ma idle idle state, waiting an interrupt. all peripheral clocks de-activated. on amp2 12 ma ultra low power arm core clock is 500 hz. all peripheral clocks de-activated. on amp2 175 a backup device only vddbu powered on amp1 4 a table 44-4. power consumption by peripheral in active mode on vddcore peripheral consumption unit pio controller 5.5 a/mhz usart 12 udphs on amp2 44 twi 6 spi 8.5 mci 15.5 ssc 14 timer counter channels 4 pwmc 6.5 lcdc 45 adc/tsc 7.5 ac97 11.5 vddcore vddbu amp2 amp1
854 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.4 crystal oscillat or characteristics the following characteristics are applicabl e to the operating temperature range: t a = -40c to 85c and worst case of power supply, unless otherwise specified. 44.4.1 32 khz oscillator characteristics notes: 1. r s is the equivalent series resistance, c l is the equivalent load capacitance. 2. c lext32 is determined by taking into account internal parasitic and package load capacitance. 3. additional user load capacitan ce should be subtracted from c lext32 . table 44-5. 32 khz oscillator characteristics symbol parameter conditions min typ max unit 1/(t cp32khz ) crystal oscillator frequency 32.768 khz c crystal32 crystal load capacitance crystal @ 32.768 khz 6 12.5 pf c lext32 (2) external load capacitance c crystal32 = 6pf (3) 4 c crystal32 = 12.5pf (3) 17 duty cycle 40 60 % t st startup time r s = 50 k , c l = 6pf (1) 400 ms r s = 50 k , c l = 12.5 pf (1) 900 r s = 100 k , c l = 6pf (1) 600 r s = 100 k , c l = 12.5 pf (1) 1200 i dd on current dissipation r s = 50 k , c l = 6pf (1) 0.65 1.6 a r s = 50 k , c l = 12.5 pf (1) 0.85 1.8 r s = 100 k , c l = 6pf (1) 12.2 r s = 100 k , c l = 12.5 pf (1) 1.2 2.4 xin32 xout32 c lext32 c lext32 c crystal32 at91sam9rl table 44-6. 32 khz crystal characteristics symbol parameter conditions min typ max unit esr equivalent series resistor rs crystal @ 32.768 khz 50 100 k c m motional capacitance crystal @ 32.768 khz 0.6 3 ff c s shunt capacitance crystal @ 32.768 khz 0.6 2 pf
855 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.4.2 rc oscillator characteristics 44.4.3 12 mhz main oscillator characteristics notes: 1. additional user load capacitance should be subtracted from c lext . table 44-7. rc oscillator characteristics symbol parameter conditions min typ max unit 1/(t cprcz ) crystal oscillator frequency 20 32 44 khz duty cycle 45 50 55 % t st startup time 75 s i dd current consumption after startup time 0.7 1.0 a table 44-8. main oscillator characteristics symbol parameter conditions min typ max unit 1/(t cpmain ) crystal oscillator frequency 8 12 16 mhz c crystal crystal load capacitance 15 20 pf c lext external load capacitance c crystal = 15 pf (1) 24 pf c crystal = 20 pf (1) 34 duty cycle 40 50 60 % t st startup time 2ms i ddst standby current consumption standby mode 1 a p on drive level 150 w i dd on current dissipation @ 12mhz 550 950 a i bypass bypass current dissipation 3.3 5 w/mhz xin xout c lext c lext c crystal at91sam9rl
856 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.4.4 xin clock characteristics note: 1. these characteristics apply only wh en the main oscillator is in bypass mo de (i.e., when moscen = 0 and oscbypass = 1 in the ckgr_mor register). 2. these characteristics apply only when the 32k oscillator is in bypass mode. 44.4.5 crystal characteristics 44.4.6 plla characteristics note: 1. startup time depends on pll rc filter. a calculation tool is provided by atmel. table 44-9. xin clock electrical characteristics symbol parameter conditions min max units 1/(t cpxin ) xin clock frequency 50.0 mhz t cpxin xin clock period 20.0 ns t chxin xin clock high half-period 0.4 x t cpxin 0.6 x t cpxin t clxin xin clock low half-period 0.4 x t cpxin 0.6 x t cpxin c in xin input capacitance (1) 25 pf r in xin pulldown resistor (1) 500 k v in xin voltage (1) 1.2 v v in32 xin32 voltage (2) 1.2 v table 44-10. crystal characteristics symbol parameter conditions min typ max unit esr equivalent series resistor rs 80 c m motional capacitance 5 9 ff c s shunt capacitance 7pf table 44-11. phase lock loop characteristics (1) symbol parameter conditions min typ max unit f in input frequency 1 32 mhz f out output frequency field out of ckgr_pll is 00 80 200 mhz field out of ckgr_pll is 10 190 240 mhz i pll current consumption active mode 3 ma standby mode 1 a
857 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.4.7 utmi pll characteristics 44.5 usb hs characteristics 44.5.1 electrical characteristics 44.5.2 static power consumption note: 1. if cable is connected, add 200 a (typical ) due to pull-up/pull-down current consumption. table 44-12. phase lock loop characteristics symbol parameter conditions min typ max unit f in input frequency 4 12 32 mhz f out output frequency 450 480 600 mhz i pll current consumption active mode 5 8 ma standby mode tbd a table 44-13. electrical parameters symbol parameter conditions min typ max unit r pui bus pull-up resistor on upstream port (idle bus) in ls or fs mode 1.5 kohm r pua bus pull-up resistor on upstream port (upstream port receiving) in ls or fs mode 15 kohm settling time t bias bias settling time 20 s t osc oscillator settling time with 12 mhz crystal 2 ms t settling settling time f in = 12 mhz 0.3 0.5 ms table 44-14. static power consumption symbol parameter conditions min typ max unit i bias bias current consumption on vbg 1a i vddutmii hs transceiver and i/o current consumption 8a ls / fs transceiver and i/o current consumption no connection (1) 3a i vddutmic core, pll, and oscillator current consumption 2a
858 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.5.3 dynamic power consumption note: 1. including 1 ma due to pull-up/pull-down current consumption. 44.6 adc note: 1. in worst case, the track-and-hold acquisition time is given by: the full speed is obtained for t th = 1000 ns with an input source impedance of 6250ohm max, corresponding to 8 clock peri- ods at maximum clock frequency . to achieve optimal performance of the adc, the analog power supply vddana and the advref input voltage must be decoupled with a 4.7f capacitor in parallel with a 100 nf capacitor . table 44-15. dynamic power consumption symbol parameter conditions min typ max unit i bias bias current consumption on vbg 0.7 0.8 ma i vddutmii hs transceiver current consumption hs transmission 47 60 ma hs transceiver current consumption hs reception 18 27 ma ls / fs transceiver current consumption fs transmission 0m cable (1) 46ma ls / fs transceiver current consumption fs transmission 5m cable (1) 26 30 ma ls / fs transceiver current consumption fs reception (1) 34.5ma i vddutmic pll, core and oscillator current consumption 5.5 9 ma table 44-16. channel conversion time and adc clock parameter conditions min typ max units adc clock frequency 10-bit resolution mode 4 mhz startup time return from idle mode 40 s track and hold acquisition time adc clock = 4 mhz (1) 1.0 s conversion time adc clock = 4 mhz (1) 3.33 s throughput rate adc clock = 4 mhz (1) 220 ksps table 44-17. external voltage reference input parameter conditions min typ max units advref input voltage range 2.6 3.3 vddana v advref average current 1100 a current consumption on vddana 200 a tth (ns) 500 0.08 z in () ohm () + =
859 6289d?atarm?3-oct-11 at91sam9r64/rl64 note: 1. for more information on data converter terminology, refer to the atmel application note data converter terminology, lit. no. 6022 . 44.7 power supply characteristics 44.7.1 por characteristics vddcore and vddbu are controlled by internal por (power on reset) to guarantee that these power sources reach their target values prior to the release of por. 44.7.2 power sequence requirements switch on the vddiom and vddiop power supply prior to or at the same time as vddcore. the at91sam9rl64 board design must comply with this sequence to guarantee reliable opera- tion of the device. any deviation from this sequence may prevent the device from booting. table 44-18. analog inputs parameter min typ max units input voltage range 0 advref v input leakage current 1a input capacitance 46pf input impedance 50 6250 ohm table 44-19. transfer characteristics parameter conditions min typ max units resolution 10 bit integral non-linearity 2 lsb differential non-linearity no missing code 0.9 lsb offset error -1.5 0.5 +2.5 lsb gain error -2 +2.5 lsb table 44-20. power-on-reset characteristics symbol parameter conditions min typ max units v th+ threshold voltage rising minimum slope of +2.0v/30ms 0.70 0.85 1.08 v v th- threshold voltage falling 0.60 0.80 1.00 v t res reset time 80 150 230 s
860 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8 timings 44.8.1 corner definition 44.8.2 processor clock 44.8.3 master clock 44.8.4 i/os criteria used to define the maximum frequency of the i/os: ? output duty cycle (40%-60%) ? minimum output swing: 100 mv to vddio - 100 mv ? addition of rising and falling time inferior to 75% of the period note: 1. 3.3v domain: v vddiop from 3.0v to 3.6v, maximum external capacitor = 40 pf. table 44-21. corner definition corner process temp (external) vddcore: 1.2v vddio: 1.8v vddio: 3.3v max slow 85 c 1.10 1.65 3.0 min fast -40 c 1.32 1.95 3.6 table 44-22. processor clock waveform parameters symbol parameter conditions min max units 1/(t cppck ) processor clock frequency max corner 200 mhz table 44-23. master clock waveform parameters symbol parameter conditions min max units 1/(t cpmck ) master clock frequency max corner 100 mhz table 44-24. i/o characteristics symbol parameter conditions min max units freqmax vddiop powered pins frequency 3.3v domain (1) 73 mhz
861 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8.5 smc smc timings are given in max (t = 85c, vddcore = 1.08v) corners. timings are given assuming a capacitance load on data, control and address pads: in following tables t cpmck is mck period. 44.8.5.1 read timings table 44-25. capacitance load io supply corner max 3.3v 50 pf 1.8v 30 pf table 44-26. smc read signals - nrd controlled (read_mode = 1) symbol parameter min in max corner units vddiom supply 1.8v 3.3v no hold settings (nrd hold = 0) smc 1 data setup before nrd high 12.1 11.4 ns smc 2 data hold after nrd high 0 0 ns hold settings (nrd hold 0) smc 3 data setup before nrd high 9.6 8.8 ns smc 4 data hold after nrd high 0 0 ns hold or no hold settings (nrd hold 0, nrd hold =0) smc 5 nbs0/a0, nbs1, nbs2/a1, nbs3, a2 - a25 valid before nrd high (nrd setup + nrd pulse)* t cpmck - 2.3 (nrd setup + nrd pulse)* t cpmck - 2.3 ns smc 6 ncs low before nrd high (nrd setup + nrd pulse - ncs rd setup) * t cpmck - 2.2 (nrd setup + nrd pulse - ncs rd setup) * t cpmck - 3.6 ns smc 7 nrd pulse width nrd pulse * t cpmck - 0.3 nrd pulse * t cpmck - 0.4 ns table 44-27. smc read signals - ncs controlled (read_mode = 0) symbol parameter min in max corner units vddiom supply 1.8v 3.3v no hold settings (ncs rd hold = 0) smc 8 data setup before ncs high 12.5 12.6 ns smc 9 data hold after ncs high 0 0 ns
862 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8.5.2 write timings note: 1. hold length = total cycle duration - setup duration - pulse duration. ?hold length? is for ?ncs wr hold length? or ?nwe hold length?. hold settings (ncs rd hold 0) smc 10 data setup before ncs high 9.8 10.0 ns smc 11 data hold after ncs high 0 0 ns hold or no hold settings (ncs rd hold 0, ncs rd hold = 0) smc 12 nbs0/a0, nbs1, nbs2/a1, nbs3, a2 - a25 valid before ncs high (ncs rd setup + ncs rd pulse)* t cpmck - 5.1 (ncs rd setup + ncs rd pulse)* t cpmck - 4.8 ns smc 13 nrd low before ncs high (ncs rd setup + ncs rd pulse - nrd setup)* t cpmck - 2.4 (ncs rd setup + ncs rd pulse - nrd setup)* t cpmck - 2.1 ns smc 14 ncs pulse width ncs rd pulse length * t cpmck - 1.1 ncs rd pulse length * t cpmck - 1.1 ns table 44-28. smc write signals - nwe controlled (write_mode = 1) symbol parameter min in max corner units 1.8v supply 3.3v supply hold or no hold settings (nwe hold 0, nwe hold = 0) smc 15 data out valid before nwe high nwe pulse * t cpmck - 2.2 nwe pulse * t cpmck - 3.4 ns smc 16 nwe pulse width nwe pulse * t cpmck - 0.4 nwe pulse * t cpmck - 9.9 ns smc 17 nbs0/a0 nbs1, nbs2/a1, nbs3, a2 - a25 valid before nwe low nwe setup * t cpmck - 2.9 nwe setup * t cpmck - 4.4 ns smc 18 ncs low before nwe high (nwe setup - ncs rd setup + nwe pulse) * t cpmck - 2.5 (nwe setup - ncs rd setup + nwe pulse) * t cpmck - 3.9 ns hold settings (nwe hold 0) smc 19 nwe high to data out, nbs0/a0 nbs1, nbs2/a1, nbs3, a2 - a25 change nwe hold * t cpmck - 3.5 nwe hold * t cpmck - 3.2 ns smc 20 nwe high to ncs inactive (1) (nwe hold - ncs wr hold)* t cpmck - 3.0 (nwe hold - ncs wr hold)* t cpmck - 2.8 ns no hold settings (nwe hold = 0) smc 21 nwe high to data out, nbs0/a0 nbs1, nbs2/a1, nbs3, a2 - a25, ncs change (1) 5.8 7.2 ns table 44-27. smc read signals - ncs controlled (read_mode = 0) (continued) symbol parameter min in max corner units vddiom supply 1.8v 3.3v
863 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-2. smc timings - ncs controlled read and write table 44-29. smc write ncs controlled (write_mode = 0) symbol parameter min in max corner units 1.8v supply 3.3v supply smc 22 data out valid before ncs high ncs wr pulse * t cpmck - 4.6 ncs wr pulse * t cpmck - 5.6 ns smc 23 ncs pulse width ncs wr pulse * t cpmck - 4.9 ncs wr pulse * t cpmck - 6.2 ns smc 24 nbs0/a0 nbs1, nbs2/a1, nbs3, a2 - a25 valid before ncs low ncs wr setup * t cpmck - 2.8 ncs wr setup * t cpmck - 2.8 ns smc 25 nwe low before ncs high (ncs wr setup - nwe setup + ncs pulse)* t cpmck - 2.8 (ncs wr setup - nwe setup + ncs pulse)* t cpmck - 2.5 ns smc 26 ncs high to data out, nbs0/a0, nbs1, nbs2/a1, nbs3, a2 - a25, change ncs wr hold * t cpmck - 3.6 ncs wr hold * t cpmck - 4.1 ns smc 27 ncs high to nwe inactive (ncs wr hold - nwe hold)* t cpmck - 0.7 (ncs wr hold - nwe hold)* t cpmck - 1.5 ns nrd ncs d0 - d15 nwe ncs controlled read with no hold ncs controlled read with hold ncs controlled write smc22 smc26 smc10 smc11 smc12 smc9 smc8 smc14 smc14 smc23 smc27 smc26 a0/a1/nbs[3:0]/a2-a25 smc24 smc25 smc12 smc13 smc13
864 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-3. smc timings - nrd controlled r ead and nwe controlled write 44.8.6 sdramc timings are given assuming a capacitance load on data, control and address pads: the sdram controller satisfies the timings of standard pc100, pc133 (3.3v supply) and mobile sdram (1.8v supply) which are given in table 44-32 , table 44-33 and table 44-34 . nrd ncs d0 - d31 nwe a0/a1/nbs[3:0]/a2-a25 nrd controlled read with no hold nwe controlled write with no hold nrd controlled read with hold nwe controlled write with hold smc1 smc2 smc15 smc21 smc3 smc4 smc15 smc19 smc20 smc7 smc21 smc16 smc7 smc16 smc19 smc21 smc17 smc18 smc5 smc5 smc6 smc6 smc17 smc18 table 44-30. capacitance load on data, control and address pads io supply corner max 3.3v 50 pf 1.8v 30 pf table 44-31. capacitance load on sdck pad io supply corner max 3.3v 10 pf 1.8v 10 pf table 44-32. sdram pc100 characteristics parameter min max units 3.3v supply 3.3v supply sdram controller clock frequency 100 mhz control/address/data in setup (1)(2) 2ns control/address/data in hold (1)(2) 1ns data out access time after sdck rising 6 ns data out change time after sdck rising 3 ns
865 6289d?atarm?3-oct-11 at91sam9r64/rl64 notes: 1. control is the set of following signals: sdcke, sdcs, ras, cas, sda10, bax, dqmx, and sdwe. 2. address is the set of a0-a9, a11-a13. 3. 133 mhz with cl=3, 100 mhz with cl=2. 4. minimum of 133 mhz and mck frequency for the operating conditions ( table 44-2 ) 44.8.7 spi timings are given assuming a capacitance load on miso, spck and mosi. table 44-33. sdram pc133 characteristics parameter min max units 3.3v supply 3.3v supply sdram controller clock frequency (4) 133 mhz control/address/data in setup (1)(2) 1.5 ns control/address/data in hold (1)(2) 0.8 ns data out access time after sdck rising 5.4 ns data out change time after sdck rising 3.0 ns table 44-34. mobile characteristics parameter min max units 1.8v supply 1.8v supply sdram controller clock frequency (4) 133/100 (3) mhz control/address/data in setup (1)(2) 1.5 ns control/address/data in hold (1)(2) 1ns data out access time after sdck rising 6/8 (3) ns data out change time after sdck rising 2.5 ns table 44-35. capacitance load for miso, spck and mosi io supply corner max 3.3v 40 pf 1.8v 20 pf
866 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-4. spi master mode with (cpol=0 and ncpha=1) or (cpol=1 and ncpha=0) figure 44-5. spi master mode with (cpol = ncpha = 0) or (cpol= ncpha= 1) figure 44-6. spi slave mode with (cpol=0 and ncpha=1) or (cpol=1 and ncpha=0) spck miso mosi spi 2 spi 0 spi 1 spck miso mosi spi 5 spi 3 spi 4 spck miso mosi spi 6 spi 7 spi 8
867 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-7. spi slave mode with (cpol = ncph a = 0) or (cpol= ncpha= 1) figure 44-8. spi slave mode - npcs timings spck miso mosi spi 9 spi 10 spi 11 spck (cpol = 0) miso spi 14 spi 16 spi 12 spi 15 spi 13 spck (cpol = 1) spi 6 spi 9 table 44-36. spi timings symbol parameter cond min max units master mode spi 0 miso setup time before spck rises max corner 12.4 + 0.5*t cpmck ns spi 1 miso hold time after spck rises max corner -10.2 - 0.5* t cpmck ns spi 2 spck rising to mosi max corner 0.7 ns spi 3 miso setup time before spck falls max corner 13.0 + 0.5*t cpmck ns spi 4 miso hold time after spck falls max corner -10.6 - 0.5* t cpmck ns spi 5 spck falling to mosi max corner 0.3 ns slave mode spi 6 spck falling to miso max corner 13.5 ns
868 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8.8 ssc timings are given assuming a capacitance load on tbc. figure 44-9. ssc transmitter, tk and tf in output spi 7 mosi setup time before spck rises max corner 9.4 ns spi 8 mosi hold time after spck rises max corner 0 ns spi 9 spck rising to miso max corner 10.8 ns spi 10 mosi setup time before spck falls max corner 0 ns spi 11 mosi hold time after spck falls max corner 12.0 ns spi 12 npcs0 setup to spck rising max corner 0 ns spi 13 npcs0 hold after spck falling max corner 12.5 ns spi 14 npcs0 setup to spck falling max corner 0 ns spi 15 npcs0 hold after spck rising max corner 12.5 ns spi 16 npcs0 falling to miso valid max corner 10.7 ns table 44-36. spi timings (continued) symbol parameter cond min max units table 44-37. capacitance load io supply corner max 3.3v 30 pf 1.8v 20 pf tk (cki =1) tf/td ssc 0 tk (cki =0)
869 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-10. ssc transmitter, tk in input and tf in output figure 44-11. ssc transmitter, tk in output and tf in input figure 44-12. ssc transmitter, tk and tf in input tk (cki =1) tf/td ssc 1 tk (cki =0) tk (cki=1) tf ssc 2 ssc 3 tk (cki=0) td ssc 4 tk (cki=0) tf ssc 5 ssc 6 tk (cki=1) td ssc 7
870 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-13. ssc receiver rk and rf in input figure 44-14. ssc receiver, rk in input and rf in output figure 44-15. ssc receiver, rk and rf in output rk (cki=1) rf/rd ssc 8 ssc 9 rk (cki=0) rk (cki=0) rd ssc 8 ssc 9 rk (cki=1) rf ssc 10 rk (cki=0) rd ssc 11 ssc 12 rk (cki=1) rf ssc 13
871 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-16. ssc receiver, rk in output and rf in input rk (cki=1) rf/rd ssc 11 ssc 12 rk (cki=0) table 44-38. ssc timings symbol parameter cond min max units transmitter ssc 0 tk edge to tf/td (tk output, tf output) max corner, vddio = 1.8v 0 (2) 1.5 (2) ns max corner, vddio = 3.3v 0 (2) 2.6 (2) ns ssc 1 tk edge to tf/td (tk input, tf output) max corner, vddio = 1.8v 0 (2) 1.5 (2) ns max corner, vddio = 3.3v 0 (2) 2.6 (2) ns ssc 2 tf setup time before tk edge (tk output) max corner, vddio = 1.8v 11.6 - t cpmck ns max corner, vddio = 3.3v 12.8 - t cpmck ns ssc 3 tf hold time after tk edge (tk output) max corner, vddio = 1.8v t cpmck - 10.4 ns max corner, vddio = 3.3v t cpmck - 10.4 ns ssc 4 (1) tk edge to tf/td (tk output, tf input) max corner, vddio = 1.8v 2 * t cpmck - 0.5 (1)(2) 2 * t cpmck + 1.9 (1)(2) ns max corner, vddio = 3.3v 2 * t cpmck - 1.6 (1)(2) 2 * t cpmck + 3.1 (1)(2) ns ssc 5 tf setup time before tk edge (tk input) max corner, vddio = 1.8v 0 ns max corner, vddio = 3.3v 0 ns ssc 6 tf hold time after tk edge (tk input) max corner, vddio = 1.8v t cpmck ns max corner, vddio = 3.3v t cpmck ns ssc 7 (1) tk edge to tf/td (tk input, tf input) max corner, vddio = 1.8v 13.1 (1) 3 * t cpmck + 12.8 (1) ns max corner, vddio = 3.3v 13.1 (1) 3 * t cpmck + 13.9 (1) ns receiver ssc 8 rf/rd setup time before rk edge (rk input) max corner, vddio = 1.8v 0 ns max corner, vddio = 3.3v 0 ns
872 6289d?atarm?3-oct-11 at91sam9r64/rl64 notes: 1. timings ssc4 and ssc7 depend on the start condition. when sttdly = 0 (receive start delay) and start = 4, or 5 or 7 (receive start selection), two periods of the mck must be added to timings. 2. for output signals (tf, td, rf), min and max access times are defined. the min access time is the time between the tk (or rk) edge and the signal change. the max access timing is the ti me between the tk edge and the signal stabilization. figure 18 illustrates min and max accesses for ssc0. the same applies for ssc1, ssc4, and ssc7, ssc10 and ssc13. ssc 9 rf/rd hold time after rk edge (rk input) max corner, vddio = 1.8v t cpmck ns max corner, vddio = 3.3v t cpmck ns ssc 10 rk edge to rf (rk input) max corner, vddio = 1.8v 13.3 (2) 15.2 (2) ns max corner, vddio = 3.3v 13.3 (2) 16.3 (2) ns ssc 11 rf/rd setup time before rk edge (rk output) max corner, vddio = 1.8v 12.6 - t cpmck ns max corner, vddio = 3.3v 13.7 - t cpmck ns ssc 12 rf/rd hold time after rk edge (rk output) max corner, vddio = 1.8v t cpmck - 10.7 ns max corner, vddio = 3.3v t cpmck - 10.7 ns ssc 13 rk edge to rf (rk output) max corner, vddio = 1.8v 0 (2) 1.8 (2) ns max corner, vddio = 3.3v 0 (2) 2.9 (2) ns table 44-38. ssc timings (continued) symbol parameter cond min max units
873 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8.9 mci capacitance loads on data and clock are given in table 44-39 . figure 44-17. mmc timings clk cmd_dat input mmc1 cmd_dat out put mmc4 shaded areas are not valid mmc2 mmc3 mmc5 table 44-39. mmc timings symbol parameter cload min max units mmc 1 clk frequency at data transfer mode c = 25 pf 25 mhz c= 100 pf 20 mhz c= 250 pf 20 mhz clk frequency at identification mode 400 khz clk low time c= 100 pf 10 ns clk high time c= 100 pf 10 ns clk rise time c= 100 pf 10 ns clk fall time c= 100 pf 10 ns clk low time c= 250 pf 50 ns clk high time c= 250 pf 50 ns clk rise time c= 250 pf 50 ns clk fall time c= 250 pf 50 ns mmc 2 input hold time 3 ns mmc 3 input setup time 3 ns mmc 4 output change after clk rising 5 ns mmc 5 output valid before clk rising 5 ns
874 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 44-18. sd card timings clk cmd_dat input sd 1 cmd_dat out put shaded areas are not valid sd 2 sd 3 sd 4 table 44-40. sd card timings symbol parameter cload min max units sd 1 clk frequency at data transfer mode c = 25 pf 50 mhz clk frequency at identification mode 400 khz clk low time c= 25 pf 5 ns clk high time c= 25 pf 5 ns clk rise time c= 25 pf 3 ns clk fall time c= 25 pf 3 ns clk low time c= 100 pf 10 ns clk high time c= 100 pf 10 ns clk rise time c= 100 pf 10 ns clk fall time c= 100 pf 10 ns sd 2 input hold time 0.8 ns sd 3 input setup time 0ns sd 4 output change after clk falling 4 ns
875 6289d?atarm?3-oct-11 at91sam9r64/rl64 44.8.10 udp hs transceiver figure 44-19. usb control/data signals for more information see: section 5.1.1 ?usb power supply considerations? on page 16 . table 44-41. usb control/data timings symbol parameter min typ max unit t csu / dsu control/data signal setup time 7 ns t ch / dh control/data signal hold time 0 ns t cco / dco control/data signal clock to out time 4 8 ns
876 6289d?atarm?3-oct-11 at91sam9r64/rl64 45. at91sam9r64/rl64 mechan ical characteristics 45.1 package drawings figure 45-1. 144-ball bga package drawing this package respects the recommendations of the nemi user group. table 45-1. soldering information (substrate level) ball land 0.380 mm soldering mask opening 0.280 mm table 45-2. device and 144-ball bga package maximum weight 300 mg table 45-3. 144-ball bga package characteristics moisture sensitivity level 3 table 45-4. package reference jedec drawing reference none jesd97 classification e1
877 6289d?atarm?3-oct-11 at91sam9r64/rl64 figure 45-2. 217-ball lfbga package drawing table 45-5. soldering information (substrate level) ball land 0.43 mm 0.05 solder mask opening 0.30 mm 0.05 table 45-6. device and 217-ball lfbg a package maximum weight 450 mg table 45-7. 217-ball lfbga package characteristics moisture sensitivity level 3 table 45-8. package reference jedec drawing reference mo-205 jesd97 classification e1
878 6289d?atarm?3-oct-11 at91sam9r64/rl64 45.2 soldering profile table 45-9 gives the recommended soldering profile from j-std-020c. note: it is recommended to apply a soldering temperature higher than 250c a maximum of three reflow passes is allowed per component. table 45-9. soldering profile profile feature green package average ramp-up rate (217c to peak) 3 c/sec. max. preheat temperature 175c 25c 180 sec. max. temperature maintained above 217c 60 sec. to 150 sec. time within 5 c of actual peak temperature 20 sec. to 40 sec. peak temperature range 260 +0 c ramp-down rate 6 c/sec. max. time 25 c to peak temperature 8 min. max.
879 6289d?atarm?3-oct-11 at91sam9r64/rl64 46. at91sam9r64/rl64 ordering information table 46-1. at91sam9r64/rl64 ordering information ordering code mrl package package type temperature operating range at91sam9r64-cu a lfbga144 green industrial -40c to 85c at91sam9rl64-cu a lfbga217 green
880 6289d?atarm?3-oct-11 at91sam9r64/rl64
881 6289d?atarm?3-oct-11 at91sam9r64/rl64 47. at91sam9r64/rl64 errata 47.1 marking all devices are marked with the atmel logo and the ordering code. additional marking has the following format: where: ??yy?: manufactory year ? ?ww?: manufactory week ? ?v?: revision ? ?xxxxxxxxx?: lot number yyww v xxxxxxxxx arm
882 6289d?atarm?3-oct-11 at91sam9r64/rl64 47.2 at91sam9r64/rl64 erra ta - revision a parts refer to section 47.1 ?marking? on page 881 . 47.2.1 bus matrix 47.2.1.1 default_master functionality the default_master functionality is not available for the following slaves: ? slave 2: usb device high-speed ? slave 3: lcdc user interface problem fix/ workaround none. 47.2.1.2 fixed_priority functionality the fixed_priority arbitration scheme is not available for the following slaves: ? slave 2: usb device high-speed ? slave 3: lcdc user interface ? slave 5: peripheral bridge problem fix/ workaround none. 47.2.2 dma controller 47.2.2.1 transfer size is limited in some conditions dma controller may be frozen if btsize field is too big. ? btsize is limited to 16383 (0x3fff) when src_width = word and dst_width = byte ? btsize is limited to 32767 (0x7fff) when src_width = halfword and dst_width = byte ? btsize is limited to 32767 (0x7fff) when src_width = word and dst_width = half_word problem fix/workaround choose another transfer configuration. 47.2.3 lcd 47.2.3.1 lcd screen shifting after a reset when a fifo underflow occurs, a reset of the dma and fifo pointers is necessary. performing the following sequence: ? dma disable ? wait for dmabusy ? dma reset ? dma enable leads to reset dma pointers but not fifo pointers, the displayed image is shifted. problem fix/workaround apply the following sequence:
883 6289d?atarm?3-oct-11 at91sam9r64/rl64 ? lcd power off ? dma disable ? wait for dmabusy ? dma reset ? lcd power on ? dma enable. 47.2.3.2 lcd periodic bad pixels lcd periodic bad pixels is due to mis-aligned dma base address in frame buffer. lcd dma per- forms bursts to read memory. these bursts must not cross 1 kb amba boundary. problem fix/workaround the burst size in 32-bit words is programmed by field brstln in dma_frmcfg register. the lcd dma base address is programmed in dma_baddr1 register. dma base address must be programmed with a value aligned onto lcd dma burst size. e.g.: brstln = 15 for a 16-word burst, the lcd dma base address must start on 16-word offset: 0x0, 0x40, 0x80 or 0xc0. brstln = 3 for a 4-word burst, the lcd dma base address must start on 0x0, 0x10, ..., 0xf0. 47.2.3.3 24-bit packed mode lcd dma base address and lcd dma burst size must be selected with care in 24-bit packed mode. a 32-bit word contains some bits of a pixe l and some bits of the following. if lcd dma base address is not aligne d with a pixel start, the colors will be modified. respect "lcd periodic bad pixels" erratum c onstrains lead to select the lcd dma base address regarding the lcd dma burst size. problem fix/workaround lcd dma base address is to be set on a pixel start, every three 32-bit word. the offset of the lcd dma base address must be a multiple of 0x30 plus 0x0, 0xc, 0x18 or 0x24. (0x0, 0xc, 0x18, 0x24, 0x30, 0x3c, 0x48, 0x54, 0x60,0x6c, 0x78, 0x84, 0x90, 0x9c, 0xa8, 0xb4, 0xc0 ...) e.g. regarding the bursts size: 1) brstln = 3 implies the following lcd dma base address offsets: 0x0, 0x30, 0x60, ... 2) brstln = 15 implies the following lcd dma base address offsets: 0x0 and 0xc0 only 47.2.4 mci 47.2.4.1 mci: busy signal of r1b responses is not taken in account the busy status of the card during the response (r1b) is ignored for the commands cmd7, cmd28, cmd29, cmd38, cmd42, cmd56. additionally, for commands cmd42 and cmd56 a
884 6289d?atarm?3-oct-11 at91sam9r64/rl64 conflict can occur on data line0 if the mci sends da ta to the card while the card is still busy.the behavior is correct for cmd12 command (stop_transfer). problem fix/workaround none 47.2.4.2 mci: sdio interrupt does not work with slots other than a when 1-bit databus is selected if 1-bit data bus width and on slots other than slot a, the sdio interrupt can not be captured. the sample is made on the wrong data line. problem fix/workaround none 47.2.4.3 mci: data timeout error flag as the data timeout error flag checking the naac timing cannot rise, the mci can be stalled wait- ing indefinitely the data start bit. problem fix/workaround a stop command must be sent with a software timeout. 47.2.4.4 mci: data write operation and number of bytes the data write operation with a number of bytes less than 12 is impossible. problem fix/workaround the pdc counters must always be equal to 12 bytes for data transfers lower than 12 bytes. the blklen or bcnt field are used to specify the real count number. 47.2.4.5 mci: flag reset is not correct in half duplex mode in half duplex mode, the reset of the fl ags endrx, rxbuff, endtx and txbufe can be incorrect. these flags are reset correctly after a pdc channel enable. problem fix/workaround enable the interrupts related to endrx, endtx, rxbuff and t xbufe only after enabling the pdc channel by writing pdc_txten or pdc_rxten. 47.2.5 reset controller (rstc) 47.2.5.1 rstc: reset during sdram accesses when a user reset occurs during sdram read access, the sdram clock is turned off while data are ready to be read on the data bus. the sdram maintains the data until the clock restarts. if the user reset is programmed to assert a general reset, the data maintained by the sdram leads to a data bus conflict and adversely affects the boot memories connected on the ebi: ? nand flash boot functionality, if the system boots out of internal rom. ? nor flash boot, if the system boots on an external memory connected on the ebi cs0. problem fix/workaround 1. avoid user reset to generate a system reset. 2. trap the user reset with an interrupt. in the interrupt routine, power down the sdram properly and perform peripheral and processor reset with software in assembler.
885 6289d?atarm?3-oct-11 at91sam9r64/rl64 example with libv3. ? the main code: //user reset interrupt setting // configure aic controller to handle ssc interrupts at91f_aic_configureit ( at91c_base_aic, // aic base address at91c_id_sys, // system peripheral id at91c_aic_prior_highest, // max priority at91c_aic_srctype_int_edge_triggered, // level sensitive sysc_handler ); // enable sysc interrupt in aic at91f_aic_enableit(at91c_base_aic, at91c_id_sys); *at91c_rstc_rmr = (0xa5<<24) | (0x4<<8) | at91c_rstc_urstien; ? the c sys handler: extern void soft_user_reset(void); void sysc_handler(void){ //check if interrupt comes from rstc if( (*at91c_rstc_rsr & at91c_rstc_ursts ) == at91c_rstc_ursts){ soft_user_reset(); //never reached while(1); } } ? the assembler routine: area test, code includeat91sam9xxx.inc exportsoft_user_reset soft_user_reset ;disable irqs mrs r0, cpsr orr r0, r0, #0x80 msr cpsr_c, r0 ;change refresh rate to block all data accesses ldr r0, =at91c_sdramc_tr ldr r1, =1 str r1, [r0]
886 6289d?atarm?3-oct-11 at91sam9r64/rl64 ;prepare power down command ldr r0, =at91c_sdramc_lpr ldr r1, =2 ;prepare proc_reset and periph_reset ldr r2, =at91c_rstc_rcr ldr r3, =0xa5000005 ;perform power down command str r1, [r0] ;perform proc_reset and periph_reset (in the arm pipeline) str r3, [r2] end 47.2.6 serial synchronous controller (ssc) 47.2.6.1 ssc: transmitter limitations in slave mode if tk is programmed as output and tf is programmed as input, it is impossible to emit data when start of edge (rising or falling) of synchro with a start delay equal to zero. problem fix/workaround none. 47.2.6.2 ssc: periodic transmission limitations in master mode if last significant bit is sent first (msbf = 0) the first tag during the frame synchro is not sent. problem fix/workaround none. 47.2.6.3 ssc: unexpected rk clock cycle when rk outputs a clock during data transfer when the ssc receiver is used in the following configuration: ? the internal clock divider is used (cks =0 and div different from 0), ? rk pin set as output and provides the clock during data transfer (cko=2) ? data sampled on rk falling edge (cki =0) then, at the end of the data, the rk pin is set in high impedance which may be interpreted as an unexpected clock cycle. problem fix/workaround enable the pull-up on rk pin. 47.2.6.4 ssc: incorrect first rk clock cycle when rk outputs a clock during data transfer when the ssc receiver is used in the following configuration: ? rx clock is divided clock (cks =0 and div different from 0) ? rk pin set as output and provides the clock during data transfer (cko=2) ? data sampled on rk falling edge (cki =0)
887 6289d?atarm?3-oct-11 at91sam9r64/rl64 then the first clock cycle time generated by the rk pin is equal to mck/(2 x (div +1)) instead of mck/(2 x div). problem fix/workaround none. 47.2.7 serial peripheral interface (spi) 47.2.7.1 spi: bad serial clock generation on second chip_select when scbr = 1, cpol = 1 and ncpha = 0 if the spi is used in the following configuration: ? master mode ? cpol=1 and ncpha =0 ? multiple chip selects used with one transfer with baud rate (scbr) equal to 1 (i.e., when serial clock frequency equals the system clock frequency) and the other transfers set with scbr not equal to 1 ? transmit with the slowest chip select and then with the fastest one then an additional pul se will be genera ted on output psck duri ng the second transfer. problem fix/workaround do not use a multiple chip select configurati on where at least one scrx register is configured with scbr = 1 and the others differ from 1 if cpha = 0 and cpol = 1. if all chip selects are configured with baudrate = 1, the issue does not appear. 47.2.8 shutdown controller 47.2.8.1 shdn signal may be driven to low level voltage during device power-on if only vddbu is powered during boot sequence (no vddcore), the shdn signal may be driven to low level voltage after a delay. this delay is linked to the startup time of the slow clock selected by oscsel signal. if shdn pin is connected to the enable pin (e n) of the vddcore regulator, vddcore estab- lishment does not occur and the system does not start. problem fix/workaround 1. vddcore must be established within the delay corresponding to the startup time of the slow clock selected by oscsel. 2. add a glue logic to latch the rising edge of the shdn signal. the reset of the latch output (en_reg) can be connected to a pio and used to enter the shutdown mode. 47.2.9 static memory controller (smc) 47.2.9.1 smc: chip select parameters modification the user must not change the configuration parameters of an smc chip select (setup, pulse, cycle, mode) if accesses are performed on this cs during the modification. for example, the modification of the chip select 0 (cs0) parameters, while fetching the code from a memory connected on this cs0, may lead to unpredictable behavior. problem fix/workaround the code used to modify the parameters of an smc chip select can be executed from the inter- nal ram or from a memory connected to another chip select.
888 6289d?atarm?3-oct-11 at91sam9r64/rl64 47.2.10 system controller 47.2.10.1 possible event loss when reading rtt_sr if an event (rttinc or alms) o ccurs within the same slow clock cycle the rtt_sr is read, the corresponding bit might be cleared. this might lead in the loss of this event. problem fix/workaround the software must handle rtt event as interrupt and should not poll rtt_sr. 47.2.11 tsadcc 47.2.11.1 tsadcc: multiple pencn t detections without nocnt in addition to the "pen contact" bit (pencnt) , the tsadcc provides a "no contact" bit (nocnt) in its status register. when a contact loss is detected by the analog block of the peripheral, an internal debouncer is started. howeve r, if the contact loss is not validated by the debouncer (e.g. if it was a glitch), the pencnt flag is incorrectly set again in the status regis- ter. this results in the pe ncnt flag being set multiple times before nocnt is set. problem fix/workaround the user must disr egard the value of the pencnt flag afte r it has been set once and before the nocnt flag has been set. when using interrupts, the interrupt on pencnt must be disabled after it has occurred once, and re-enabled when nocnt occurs. 47.2.12 usart 47.2.12.1 usart: rxbreak problem when no timeguard the rxbreak flag is not correctly handled (f rame error is set inst ead) when the time- guard is 0 and the break character is located just after stop bit. problem fix/workaround if the nbstop = 1, => timeguard should be different from 0. synchronous mode is not affected, only asynchronous. 47.2.12.2 usart: dcd is active high instead of low dcd signal is active at high level in the usart block (modem mode). dcd should be active at low level. problem fix/workaround add an inverter.
889 6289d?atarm?3-oct-11 at91sam9r64/rl64 48. revision history in the tables that follow, the most recent version of the document appears first. the initials ?rfo? indicate changes requested by product experts, or made during proof reading as part of the approval process. doc. rev. 6289d comments change request ref. electrical char acteristics: sth column removed from table 44-26, ?smc read signals - nrd controlled (read_mode = 1)? to table 44-31, ?capacitance load on sdck pad? , table 44-35, ?capacitance load for miso, spck and mosi? and table 44-37, ?capacitance load? sth lines removed from table 44-21, ?corner definition? , table 44-22, ?processor clock waveform parameters? , table 44-23, ?master clo ck waveform parameters? , table 44-36, ?spi timings? and table 44-38, ?ssc timings? . sth text removed from first line of section 44.8.5 ?smc? . 8003 back page: latest back page file used. rfo doc. rev. 6289c comments change request ref. product overview: ?features? , removed mid-level embedded trace macrocell feature ?features? , updated figures on cpu speed ?features? , updated sdio and mmc version removed paragraph section 5.2 ?power consumption? . section 6.5 ?shutdown logic pins? , removed information on the shutdown pin section 8.1.2.1 ?bms = 1, boot on embedded rom? , ? sdcard, (boot rom does not support high capacity sdcards) clarification added. 6142 rfo 6345 6345 6345 5935 boot program: section 14.5 ?sd card boot? , added clarification boot rom does not support high capacity sdcards. section 14.7.3 ?usb high speed device port? , removed paragraph on device class cdc. 6345 6195 smc: table 22-9, ?smc register mapping? , updated reset state for smc_cycle 6345 pmc: section 26.4 ?slow clock selection? , changed reference from internal slow clock oscillator to internal rc oscillator. 5982
890 6289d?atarm?3-oct-11 at91sam9r64/rl64 lcdc: section 39.5.2.3 ?fifo? and section 39.11.15 ?l cd fifo register? , updated fifo size and specified that it is in words. section 39.11.7 ?dma fram e configuration register? , specified burst length is in words. rfo electrical char acteristics: table 44-2, ?dc characteristics? , updated i sc values table 44-4, ?power consum ption by peripheral in active mode on vddcore? , updated consumption figure for lcdc. section 44.7 ?power supply characteristics? , all new and updated information on power supply characteristics. table 44-9, ?xin clock electrical characteristics? , added data on vin and vin32. removed emac section, former section 44.8.7. table 44-23, ?master clock waveform parameters? , corrected max value of master clock frequency, sth corner. table 44-24, ?i/o characteristics? , corrected max value of freqmax. section 44.8.9 ?mci? , all new and updated information on mmc and sd card timings. table 46-1, ?at91sam9r64/rl64 ordering information? updated with mrl information. 6268 5902 6269 6166 6184 rfo rfo 6344 rfo errata: section 47.2.3 ?lcd? , added section with errata section 47.2.3.1 ?lcd scr een shifting after a reset? , section 47.2.3.2 ?lcd periodic bad pixels? and section 47.2.3.3 ?24-bit packed mode? section 47.2.4.2 ?mci: sd io interrupt does not work with slot s other than a when 1-bit databus is selected? , corrected errata description. section 47.2.5.1 ?rstc: reset during sdram accesses? , added errata. section 47.2.8.1 ?shdn signal may be driven to low level voltage during device power-on? , added errata. section 47.2.9.1 ?smc: chip se lect parameters modification? , added errata. 6382 6169 6082 6345 5642 doc. rev. 6289c comments (continued) change request ref. doc. rev. 6289b comments change request ref. product overview: ?features? , ?debug unit (dbgu)? on page 2 , updated figure 8-1 ?at91sam9r64/rl64 memory mapping? , internal memory mapping updated. table 7-2, ?list of bus matrix slaves? , table 7-3, ?at91sam9r64/rl64 master to slave access? , slave 3 updated. 5846 5276 section 5.1 ?power supplies? , updated with caution on vddcore and vddio constraints. 5291 section 5.1.1 ?usb power supply considerations? and figure 5-1 added to datasheet. 5420 section 5.2 ?power consumption? , first two sentences updated. 5388 table 3-1, ?signal description list? , additional comments on bms. shdn comments updated. 5423 rfo table 10-3 and table 10-7 pb8, pb9 , peripheral a column: typos corrected, ?cfce1,? ?cfce2?. 5788
891 6289d?atarm?3-oct-11 at91sam9r64/rl64 pmc: section 27.8.10 ?pmc clock generator pll register? , inserted a 1 into bit field 29 and warning: ?bit 29 must always be set to 1 when programming ckgr_pllr register. 5602 5853 tsadcc: section 43.4.1 ?power management? , updated. 5856 udphs: figure 41-2 ?board schematic? , updated. table 41-1, ?udphs endpoint description? , high bandwidth column updated. 5711/5281 ?usb high speed device port (udphs) user interface? , internal test registers added. table 41-5, ?register mapping? section 41.5.7 ?udphs test sof counter register? section 41.5.8 ?udphs test a counter register? section 41.5.9 ?udphs test b counter register? section 41.5.10 ?udphs test mode register? 5688 electrical characteristics: table 44-1, ?absolute maximum ratings? , updated industrial operating temperature. 5555 table 44-2, ?dc characteristics? , i sc rows updated. v ol and v oh rows updated with new conditions 5277 5289 table 44-19, ?transfer characteristics? , gain error row updated. 5278 section 44.6 ?adc? , section upgraded one level in chapter organization. 5525 figure 44-8 ?spi slave mode - npcs timings? , illustration replaced. 5613 section 44.8 ?timings? , except in formulas, negative values replaced by 0 in timing tables. 5614 table 44-22, ?processor cl ock waveform parameters? , sth corner, max updated 5622 table 44-40, ?mci timings high speed? , added to datasheet. 5708 table 44-3, ?power consumption for different modes? ,active mode updated. 5803 section 44.7 ?power supply characteristics? , section updated. rfo mechanical characteristics: table 45-1 and table 45-5 soldering information is for substrate level. 5744 errata: section 47.2.11 ?tsadcc? , added to errata. 5549 doc. rev. 6289b comments (continued) change request ref. doc. rev. 6289a comments change request ref. first issue
892 6289d?atarm?3-oct-11 at91sam9r64/rl64
1 6289d?atarm?3-oct-11 at91sam9r64/rl64 table of contents features ................ ................ .............. ............... .............. .............. ............ 1 1 description ............ .............. .............. ............... .............. .............. ............ 3 2 block diagrams ................... .............. ............... .............. .............. ............ 5 3 signal description .............. .............. ............... .............. .............. ............ 7 4 package and pinout ................. ................ ................. ................ ............. 12 4.1 144-ball bga package outline ..............................................................................12 4.2 pinout ................................................................................................................... .13 4.3 217-ball lfbga package outline .........................................................................14 4.4 pinout ................................................................................................................... .15 5 power considerations ........ .............. ............... .............. .............. .......... 16 5.1 power supplies .....................................................................................................16 5.2 programmable i/o lines power supplies ..............................................................17 6 i/o line considerations ...... .............. ............... .............. .............. .......... 18 6.1 jtag port pins ......................................................................................................18 6.2 test pin ................................................................................................................. 18 6.3 reset pins .............................................................................................................18 6.4 pio controllers ......................................................................................................18 6.5 shutdown logic pins .............................................................................................18 7 processor and architecture .... ................ ................. ................ ............. 18 7.1 arm926ej-s processor .......................................................................................18 7.2 matrix masters .......................................................................................................19 7.3 matrix slaves .........................................................................................................20 7.4 master to slave access .........................................................................................20 7.5 peripheral dma controller (pdc) ..........................................................................20 7.6 dma controller ......................................................................................................21 7.7 debug and test features ......................................................................................21 8 memories ............... .............. .............. ............... .............. .............. .......... 22 8.1 embedded memories ............................................................................................23 8.2 external memories ................................................................................................26 9 system controller ............. ................ ............... .............. .............. .......... 28 9.1 system controller mapping ...................................................................................28
2 6289d?atarm?3-oct-11 at91sam9r64/rl64 9.2 block diagram .......................................................................................................29 9.3 reset controller ....................................................................................................30 9.4 shutdown controller ..............................................................................................30 9.5 clock generator ....................................................................................................30 9.6 slow clock selection .............................................................................................31 9.7 power management controller ..............................................................................31 9.8 periodic interval timer ..........................................................................................32 9.9 watchdog timer ....................................................................................................32 9.10 real-time timer ..................................................................................................32 9.11 real-time clock ..................................................................................................32 9.12 general-purpose backed-up registers ...............................................................33 9.13 advanced interrupt controller .............................................................................33 9.14 debug unit ..........................................................................................................33 9.15 chip identification ................................................................................................34 9.16 pio controllers ....................................................................................................34 10 peripherals ............ .............. .............. ............... .............. .............. .......... 34 10.1 peripheral mapping .............................................................................................34 10.2 peripheral identifiers ...........................................................................................35 10.3 peripheral interrupts and clock control ..............................................................36 10.4 peripherals signals multiplexing on i/o lines .....................................................36 11 embedded peripherals overview .............. ................ ................. .......... 44 11.1 serial peripheral interface (spi) ..........................................................................44 11.2 two-wire interface (twi) .....................................................................................44 11.3 usart ................................................................................................................44 11.4 serial synchronous controller (ssc) ..................................................................45 11.5 ac97 controller ...................................................................................................45 11.6 timer counter (tc) .............................................................................................45 11.7 pulse width modulation controller (pwm) ..........................................................46 11.8 multimedia card interface (mci) .........................................................................46 11.9 usb high speed device port (udphs) ..............................................................46 11.10 lcd controller (lcdc) ......................................................................................47 11.11 touch screen analog -to-digital converter (tsadcc) ......................................47 12 arm926ej-s processor overview .............. ................. .............. .......... 49 12.1 overview .............................................................................................................49 12.2 block diagram .....................................................................................................50
3 6289d?atarm?3-oct-11 at91sam9r64/rl64 12.3 arm9ej-s processor .........................................................................................50 12.4 cp15 coprocessor ..............................................................................................58 12.5 memory management unit (mmu) ......................................................................61 12.6 caches and write buffer .....................................................................................62 12.7 tightly-coupled memory interface ......................................................................64 12.8 bus interface unit ................................................................................................65 13 at91sam9r64/rl64 debug and test ............ .............. .............. .......... 67 13.1 description ..........................................................................................................67 13.2 block diagram .....................................................................................................68 13.3 application examples ..........................................................................................69 13.4 debug and test pin description ..........................................................................70 13.5 functional description .........................................................................................70 14 at91sam9r64/rl64 boot prog ram ................. .............. .............. ........ 73 14.1 description ..........................................................................................................73 14.2 flow diagram ......................................................................................................73 14.3 device initialization ..............................................................................................74 14.4 dataflash boot ....................................................................................................75 14.5 sd card boot ......................................................................................................78 14.6 nand flash boot ................................................................................................78 14.7 sam-ba boot ......................................................................................................79 14.8 hardware and software constraints ...................................................................82 15 reset controller (rstc) .... ............... ............... .............. .............. .......... 85 15.1 description ..........................................................................................................85 15.2 block diagram .....................................................................................................85 15.3 functional description .........................................................................................86 15.4 reset controller (rstc) user interface ..............................................................95 16 real-time timer (rtt) ......... .............. ............... .............. .............. .......... 99 16.1 overview .............................................................................................................99 16.2 block diagram .....................................................................................................99 16.3 functional description .........................................................................................99 16.4 real-time timer (rtt) user interface ...............................................................101 17 periodic interval time r (pit) ............... .............. .............. ............ ........ 105 17.1 overview ...........................................................................................................105 17.2 block diagram ...................................................................................................105
4 6289d?atarm?3-oct-11 at91sam9r64/rl64 17.3 functional description .......................................................................................106 17.4 periodic interval timer (pit) user interface ......................................................108 18 watchdog timer (wdt) ......... ................ ................. ................ ............. 111 18.1 description ........................................................................................................111 18.2 block diagram ...................................................................................................111 18.3 functional description .......................................................................................112 18.4 watchdog timer (wdt) user interface .............................................................114 19 shutdown controller (shdwc ) .............. ................. ................ ........... 117 19.1 description ........................................................................................................117 19.2 block diagram ...................................................................................................117 19.3 i/o lines description .........................................................................................117 19.4 product dependencies ......................................................................................117 19.5 functional description .......................................................................................118 19.6 shutdown controller (shdwc) user interface .................................................119 20 real-time clock (rtc) ...... .............. .............. .............. .............. ........... 123 20.1 description ........................................................................................................123 20.2 block diagram ...................................................................................................123 20.3 product dependencies ......................................................................................123 20.4 functional description .......................................................................................123 20.5 real-time clock (rtc) user interface ..............................................................125 21 external bus interface (ebi ) ................ .............. .............. ............ ........ 139 21.1 description ........................................................................................................139 21.2 block diagram ...................................................................................................140 21.3 i/o lines description .........................................................................................141 21.4 application example ..........................................................................................143 21.5 product dependencies ......................................................................................146 21.6 functional description .......................................................................................146 21.7 implementation examples .................................................................................154 22 static memory controller (smc) ........... ................. ................ ............. 163 22.1 description ........................................................................................................163 22.2 i/o lines description .........................................................................................163 22.3 multiplexed signals ...........................................................................................163 22.4 application example ..........................................................................................164 22.5 product dependencies ......................................................................................164
5 6289d?atarm?3-oct-11 at91sam9r64/rl64 22.6 external memory mapping ................................................................................165 22.7 connection to external devices ........................................................................165 22.8 standard read and write protocols ..................................................................169 22.9 automatic wait states .......................................................................................178 22.10 data float wait states ....................................................................................183 22.11 external wait ...................................................................................................187 22.12 slow clock mode .............................................................................................193 22.13 asynchronous page mode ..............................................................................196 22.14 static memory controller (smc) user interface ..............................................199 23 sdram controller (sdramc) ................ ................. ................ ........... 205 23.1 description ........................................................................................................205 23.2 i/o lines description .........................................................................................205 23.3 application example ..........................................................................................206 23.4 product dependencies ......................................................................................208 23.5 functional description .......................................................................................210 23.6 sdram controller user interface .....................................................................218 24 error corrected code (ecc ) controller ...... .............. .............. ........... 229 24.1 description ........................................................................................................229 24.2 block diagram ...................................................................................................229 24.3 functional description .......................................................................................229 24.4 error corrected code (ecc) controller user interface .....................................234 25 peripheral dma controller (pdc) ................ .............. .............. ........... 239 25.1 description ........................................................................................................239 25.2 block diagram ...................................................................................................240 25.3 functional description .......................................................................................241 25.4 peripheral dma controller (pdc) user interface ..............................................244 26 clock generator ................ .............. .............. .............. .............. ........... 255 26.1 description ........................................................................................................255 26.2 slow clock crystal oscillator .............................................................................255 26.3 slow clock rc oscillator ...................................................................................255 26.4 slow clock selection .........................................................................................255 26.5 main oscillator ...................................................................................................258 26.6 divider and pll block .......................................................................................259 27 power management controller (pmc) .... ................. ................ ........... 262
6 6289d?atarm?3-oct-11 at91sam9r64/rl64 27.1 description ........................................................................................................262 27.2 master clock controller .....................................................................................262 27.3 processor clock controller ................................................................................263 27.4 peripheral clock controller ...............................................................................263 27.5 programmable clock output controller .............................................................263 27.6 programming sequence ....................................................................................264 27.7 clock switching details .....................................................................................267 27.8 power management controller (pmc) user interface ......................................271 28 at91sam9r64/rl64 bus matrix ............ ................. ................ ........... 287 28.1 description ........................................................................................................287 28.2 memory mapping ...............................................................................................287 28.3 special bus granting techniques .....................................................................287 28.4 arbitration ..........................................................................................................288 28.5 bus matrix user interface ..................................................................................291 29 advanced interrupt controller (aic) ........... .............. .............. ........... 299 29.1 description ........................................................................................................299 29.2 block diagram ...................................................................................................300 29.3 application block diagram ................................................................................300 29.4 aic detailed block diagram ..............................................................................300 29.5 i/o line description ...........................................................................................301 29.6 product dependencies ......................................................................................301 29.7 functional description .......................................................................................302 29.8 advanced interrupt controller (aic) user interface ...........................................312 30 debug unit (dbgu) .. ................ ................ ................. ................ ........... 323 30.1 description ........................................................................................................323 30.2 block diagram ...................................................................................................324 30.3 product dependencies ......................................................................................325 30.4 uart operations ..............................................................................................325 30.5 debug unit user interface ................................................................................332 31 parallel input/output contro ller (pio) ......... .............. .............. ........... 347 31.1 description ........................................................................................................347 31.2 block diagram ...................................................................................................348 31.3 product dependencies ......................................................................................349 31.4 functional description .......................................................................................350 31.5 i/o lines programming example ......................................................................354
7 6289d?atarm?3-oct-11 at91sam9r64/rl64 31.6 parallel input/output (pio) controller user interface ........................................356 32 serial peripheral interface (spi) ................ ................ .............. ........... 373 32.1 description ........................................................................................................373 32.2 block diagram ...................................................................................................374 32.3 application block diagram ................................................................................374 32.4 signal description ............................................................................................375 32.5 product dependencies ......................................................................................375 32.6 functional description .......................................................................................376 32.7 serial peripheral interface (spi) user interface ................................................385 33 two-wire interface (twi) .... .............. ............... .............. .............. ........ 399 33.1 description ........................................................................................................399 33.2 list of abbreviations ..........................................................................................400 33.3 block diagram ...................................................................................................400 33.4 application block diagram ................................................................................401 33.5 product dependencies ......................................................................................401 33.6 functional description .......................................................................................402 33.7 master mode .....................................................................................................403 33.8 multi-master mode .............................................................................................415 33.9 slave mode .......................................................................................................418 33.10 two-wire interface (twi) user interface ........................................................426 34 universal synchronous/asynchr onous receiver/t ransceiver (usart) ................. ................. ................ ................. ................ ............. 441 34.1 description ........................................................................................................441 34.2 block diagram ...................................................................................................442 34.3 application block diagram ................................................................................443 34.4 i/o lines description ........................................................................................443 34.5 product dependencies ......................................................................................444 34.6 functional description .......................................................................................445 34.7 usart user interface ......................................................................................476 35 serial synchronous controller (ssc) ....... ................ .............. ........... 497 35.1 description ........................................................................................................497 35.2 block diagram ...................................................................................................498 35.3 application block diagram ................................................................................498 35.4 pin name list ....................................................................................................499 35.5 product dependencies ......................................................................................499
8 6289d?atarm?3-oct-11 at91sam9r64/rl64 35.6 functional description .......................................................................................499 35.7 ssc application examples ................................................................................510 35.8 synchronous serial controller (ssc) user interface ........................................512 36 timer counter (tc) ........... .............. .............. .............. .............. ........... 535 36.1 description ........................................................................................................535 36.2 block diagram ...................................................................................................536 36.3 pin name list ....................................................................................................537 36.4 product dependencies ......................................................................................537 36.5 functional description .......................................................................................538 36.6 timer counter (tc) user interface ....................................................................551 37 dma controller (dmac) ................. .............. .............. .............. ........... 569 37.1 description ........................................................................................................569 37.2 block diagram ...................................................................................................570 37.3 functional description .......................................................................................571 37.4 dmac software requirements .........................................................................594 37.5 dma controller (dmac) user interface ............................................................596 38 multimedia card interface (m ci) ........... ................. ................ ............. 615 38.1 description ........................................................................................................615 38.2 block diagram ...................................................................................................616 38.3 application block diagram ................................................................................617 38.4 pin name list ...................................................................................................617 38.5 product dependencies ......................................................................................617 38.6 bus topology ....................................................................................................618 38.7 multimedia card operations ..............................................................................621 38.8 sd/sdio card operations ................................................................................630 38.9 multimedia card interface (mci) user interface ................................................631 39 lcd controller (lcdc) .......... ................ ................. ................ ............. 649 39.1 description ........................................................................................................649 39.2 block diagram ...................................................................................................650 39.3 i/o lines description .........................................................................................651 39.4 product dependencies ......................................................................................651 39.5 functional description .......................................................................................651 39.6 interrupts ...........................................................................................................672 39.7 configuration sequence ....................................................................................672 39.8 double-buffer technique ...................................................................................673
9 6289d?atarm?3-oct-11 at91sam9r64/rl64 39.9 2d memory addressing .....................................................................................674 39.10 register configuration guide ..........................................................................676 39.11 lcd controller (lcdc) user interface ............................................................677 40 ac?97 controller (ac?97c) . .............. ............... .............. .............. ........ 705 40.1 description ........................................................................................................705 40.2 block diagram ...................................................................................................706 40.3 pin name list ....................................................................................................707 40.4 application block diagram ................................................................................707 40.5 product dependencies ......................................................................................708 40.6 functional description .......................................................................................709 40.7 ac?97 controller (ac97c) user interface ..........................................................720 41 usb high speed device port (udphs) .......... .............. .............. ........ 733 41.1 description ........................................................................................................733 41.2 block diagram ...................................................................................................734 41.3 typical connection ............................................................................................735 41.4 functional description .......................................................................................735 41.5 usb high speed device port (udphs) user interface ....................................760 42 pulse width modulation (p wm) controller ............. ................ ........... 805 42.1 description ........................................................................................................805 42.2 block diagram ...................................................................................................805 42.3 i/o lines description .........................................................................................806 42.4 product dependencies ......................................................................................806 42.5 functional description .......................................................................................806 42.6 pulse width modulation (pwm) controller user interface ...............................815 43 touch screen adc controller .. ................. ................ .............. ........... 825 43.1 description ........................................................................................................825 43.2 block diagram ...................................................................................................826 43.3 signal description .............................................................................................827 43.4 product dependencies ......................................................................................827 43.5 analog-to-digital converter functional description ...........................................828 43.6 touch screen ....................................................................................................829 43.7 conversion results ...........................................................................................832 43.8 conversion triggers ..........................................................................................835 43.9 operating modes ...............................................................................................835 43.10 touch screen adc controller (tsadcc) user interface ...............................838
10 6289d?atarm?3-oct-11 at91sam9r64/rl64 44 at91sam9r64/rl64 electrical characteri stics .............. .................. 851 44.1 absolute maximum ratings ...............................................................................851 44.2 dc characteristics ............................................................................................851 44.3 power consumption ..........................................................................................852 44.4 crystal oscillator characteristics .......................................................................854 44.5 usb hs characteristics ....................................................................................857 44.6 adc ..................................................................................................................85 8 44.7 power supply characteristics ...........................................................................859 44.8 timings ..............................................................................................................860 45 at91sam9r64/rl64 mechanical character istics ............. ............... 876 45.1 package drawings ............................................................................................876 45.2 soldering profile ................................................................................................878 46 at91sam9r64/rl64 ordering in formation ............. .............. ........... 879 47 at91sam9r64/rl64 errata .... ................ ................. ................ ........... 881 47.1 marking ..............................................................................................................881 47.2 at91sam9r64/rl64 errata - revision a parts ...............................................882 48 revision history ....... ................ ................ ................. ................ ........... 889
6289d?atarm?3-oct-11 headquarters international atmel corporation 2325 orchard parkway san jose, ca 95131 usa tel: (+1) (408) 441-0311 fax: (+1) (408) 487-2600 atmel asia limited unit 01-5 & 16, 19f bea tower, millennium city 5 418 kwun tong road kwun tong, kowloon hong kong tel: (+852) 2245-6100 fax: (+852) 2722-1369 atmel munich gmbh business campus parkring 4 d-85748 garching b. munich germany tel: (+49) 89-31970-0 fax: (+49) 89-3194621 atmel japan 9f, tonetsu shinkawa bldg. 1-24-8 shinkawa chuo-ku, tokyo 104-0033 japan tel: (81) 3-3523-3551 fax: (81) 3-3523-7581 product contact web site www.atmel.com www.atmel.com/at91sam technical support at91sam support atmel technical support sales contacts www.atmel.com/contacts/ literature requests www.atmel.com/literature disclaimer: the information in this document is provided in connection with atmel products. no license, expr ess or implied, by estoppel or otherwise, to any intellectual property right is granted by this document or in connection with the sale of atmel products. except as set forth in atmel?s terms and condi- tions of sale located on atmel?s web site, atmel assumes no liability whatsoever and disclaims any express, implied or statutor y warranty relating to its products including, but not limited to , the implied warranty of merchantability, fitness for a particu lar purpose, or non-infringement. in no event shall atmel be liable for any direct, indire ct, consequential, p unitive, special or i nciden- tal damages (including, without limitation, damages for loss of profits, business interruption, or loss of information) arising out of the use or inability to use this document, even if at mel has been advised of the possibility of such damages. atmel makes no representations or warranties with respect to the accuracy or comple teness of the contents of this document and reserves the rig ht to make changes to specifica- tions and product descriptions at any time without notice. atmel does not make any commitment to update the information contain ed herein. unless specifically pro- vided otherwise, atmel products are not suitable for, and shall not be used in, automotive applications. atmel?s products are n ot intended, authorized, or warranted for use as components in applications intended to support or sustain life. ? 2011 atmel corporation . all rights reserved. atmel ? , logo and combinations thereof dataflash ? , sam-ba ? and others are registered trade- marks or trademarks of atmel corporation or its subsidiaries. arm ? , thumb ? and the armpowered logo ? and others are registered trademarks or trademarks arm ltd. windows ? and others are registered trademarks or trademarks of mi crosoft corporation in the us and/or other coun- tries. other terms and product names may be trademarks of others.


▲Up To Search▲   

 
Price & Availability of ATTINY84-20MUR

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X